Chen, Tien-Fu
314  results:
?
1

Accelerating R Data Analytics in IoT Edge Systems by Memory..:

, In: 2017 IEEE 11th International Conference on Application of Information and Communication Technologies (AICT),
 
?
2

Lifetime-aware LRU promotion policy for last-level cache:

, In: VLSI Design, Automation and Test(VLSI-DAT),
 
?
3

Energy-efficient non-volatile TCAM search engine design usi..:

, In: Proceedings of the 52nd Annual Design Automation Conference,
 
?
4

DAPs : Dynamic Adjustment and Partial Sampling for Multi..:

, In: Proceedings of the 51st Annual Design Automation Conference,
 
?
5

Leveraging Data Lifetime for Energy-Aware Last Level Non-Vo..:

, In: Proceedings of the 51st Annual Design Automation Conference,
 
?
6

RunAssert : a non-intrusive run-time assertion for paral..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Wen, Chi-Neng ; Chou, Shu-Hsuan ; Chen, Tien-Fu. - p. 287-290 , 2010
 
?
7

NUDA : a non-uniform debugging architecture and non-intr..:

, In: Proceedings of the 46th Annual Design Automation Conference,
Wen, Chi-Neng ; Chou, Shu-Hsuan ; Chen, Tien-Fu. - p. 148-153 , 2009
 
?
8

No cache-coherence : a single-cycle ring interconnection..:

, In: Proceedings of the 46th Annual Design Automation Conference,
Chou, Shu-Hsuan ; Chen, Chien-Chih ; Wen, Chi-Neng... - p. 587-592 , 2009
 
?
9

An embedded coherent-multithreading multimedia processor an..:

, In: Proceedings of the 44th annual Design Automation Conference,
Chu, Jui-Chin ; Ku, Wei-Chun ; Chou, Shu-Hsuan.. - p. 652-657 , 2007
 
?
10

Evaluation and design trade-offs between circuit-switched a..:

, In: Proceedings of the 43rd annual Design Automation Conference,
 
?
11

A low-power crossroad switch architecture and its core plac..:

, In: Proceedings of the 2005 international symposium on Low power electronics and design,
 
?
12

Dynamic voltage leveling scheduling for real-time embedded ..:

, In: Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems,
Kuo, Jian-Liang ; Chen, Tien-Fu - p. 147-155 , 2002
 
?
13

Compressing inverted files in scalable information systems ..:

, In: Proceedings of the 2001 ACM/IEEE conference on Supercomputing,
Lai, Chung-Hung ; Chen, Tien-Fu - p. 60 ff. , 2001
 
?
14

An effective programmable prefetch engine for on-chip cache:

, In: Proceedings of the 28th annual international symposium on Microarchitecture,
Chen, Tien-Fu - p. 237-242 , 1995
 
?
15

Reducing memory latency via non-blocking and prefetching ca..:

, In: Proceedings of the fifth international conference on Architectural support for programming languages and operating systems,
Chen, Tien-Fu ; Baer, Jean-Loup - p. 51-61 , 1992
 
1-15