Cheng, Hsiang-Yun
414  results:
Search for persons X
?
1

Special Session - Non-Volatile Memories: Challenges and Opp..:

, In: Proceedings of the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems,
Henkel, Jorg ; Siddhu, Lokesh ; Bauer, Lars... - p. 11-20 , 2023
 
?
2

Tensor Movement Orchestration in Multi-GPU Training Systems:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Lin, Shao-Fu ; Chen, Yi-Jung ; Cheng, Hsiang-Yun. - p. 1140-1152 , 2023
 
?
3

This is SPATEM! A Spatial-Temporal Optimization Framework f..:

, In: 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC),
Tsou, Yen-Ting ; Chen, Kuan-Hsun ; Yang, Chia-Lin... - p. 702-707 , 2022
 
?
4

Efficient and Atomic-Durable Persistent Memory through In-P..:

, In: 2022 IEEE 11th Non-Volatile Memory Systems and Applications Symposium (NVMSA),
 
?
5

Efficient Bad Block Management with Cluster Similarity:

, In: 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Yen, Jui-Nan ; Hsieh, Yao-Ching ; Chen, Cheng-Yu... - p. 503-513 , 2022
 
?
6

Retinex Based on Weaken Factor with Truncated AGCWD for Bac..:

, In: 2022 IEEE International Conference on Consumer Electronics (ICCE),
 
?
7

GraphRSim: A Joint Device-Algorithm Reliability Analysis fo..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Nien, Chin-Fu ; Hsiao, Yi-Jou ; Cheng, Hsiang-Yun... - p. 1478-1483 , 2020
 
?
8

GraphRSim : a joint device-algorithm reliability analysi..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Nien, Chin-Fu ; Hsiao, Yi-Jou ; Cheng, Hsiang-Yun... - p. 1478-1483 , 2020
 
?
9

Sparse ReRAM engine : joint exploration of activation an..:

, In: Proceedings of the 46th International Symposium on Computer Architecture,
Yang, Tzu-Hsien ; Cheng, Hsiang-Yun ; Yang, Chia-Lin... - p. 236-249 , 2019
 
?
10

The Impact of Emerging Technologies on Architectures and Sy..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
Henkel, Jorg ; Amrouch, Hussam ; Rapp, Martin... - p. 1-6 , 2019
 
?
11

DL-RSIM : a simulation framework to enable reliable ReRA..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
12

LAP : loop-block aware inclusion properties for energy-e..:

, In: Proceedings of the 43rd International Symposium on Computer Architecture,
Cheng, Hsiang-Yun ; Zhao, Jishen ; Sampson, Jack... - p. 103-114 , 2016
 
?
13

Core vs. uncore : the heart of darkness:

, In: Proceedings of the 52nd Annual Design Automation Conference,
Cheng, Hsiang-Yun ; Zhan, Jia ; Zhao, Jishen... - p. 1-6 , 2015
 
?
14

EECache : exploiting design choices in energy-efficient ..:

, In: Proceedings of the 2014 international symposium on Low power electronics and design,
Cheng, Hsiang-Yun ; Poremba, Matt ; Shahidi, Narges... - p. 303-306 , 2014
 
?
15

An analytical model to exploit memory task scheduling:

, In: Proceedings of the 2010 Workshop on Interaction between Compilers and Computer Architecture,
 
1-15