Lin, Yibo
1043  Ergebnisse:
Personensuche X
?
1

Automated Lithography Resolution Enhancement with Deep Lear..:

, In: Proceedings of the Great Lakes Symposium on VLSI 2024,
Liu, Zixi ; Lin, Yibo ; Su, Xiaojing... - p. 592-598 , 2024
 
?
2

Barium isotopes in stromatolites through deep-time: A novel..:

Hohl, Simon V. ; Lin, Yi-Bo ; Wei, Hai-Zhen..
Earth and Planetary Science Letters.  632 (2024)  - p. 118639 , 2024
 
?
3

An efficient Cd two-stage column system for high-precision ..:

Zhang, Zheyuan ; Li, Tao ; Li, Baichan...
Journal of Analytical Atomic Spectrometry.  39 (2024)  4 - p. 1142-1151 , 2024
 
?
4

Post-layout simulation driven analog circuit sizing:

Gao, Xiaohan ; Zhang, Haoyi ; Ye, Siyuan...
Science China Information Sciences.  67 (2024)  4 - p. , 2024
 
?
5

An Efficient Task-Parallel Pipeline Programming Framework:

, In: Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region,
 
?
6

Multi-Electrostatics Based Placement for Non-Integer Multip..:

, In: Proceedings of the 2024 International Symposium on Physical Design,
Zhang, Yu ; Pu, Yuan ; Liu, Fangzhou... - p. 161-168 , 2024
 
?
7

IncreMacro: Incremental Macro Placement Refinement:

, In: Proceedings of the 2024 International Symposium on Physical Design,
Pu, Yuan ; Chen, Tinghuan ; He, Zhuolun... - p. 169-176 , 2024
 
?
8

Boron isotopic compositions of middle Miocene to recent sha..:

Chen, Xinran ; Zhang, Feifei ; Lin, Yibo...
Global and Planetary Change.  240 (2024)  - p. 104511 , 2024
 
?
9

Routing-aware Legal Hybrid Bonding Terminal Assignment for ..:

, In: Proceedings of the 2024 International Symposium on Physical Design,
Liu, Siting ; Jiang, Jiaxi ; He, Zhuolun... - p. 75-82 , 2024
 
?
 
?
12

General-Purpose Gate-Level Simulation with Partition-Agnost..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
Guo, Zizheng ; Zhang, Zuodong ; Jiang, Xun... - p. 1-6 , 2023
 
?
13

MTL-Designer: An Integrated Flow for Analysis and Synthesis..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
Wang, Qipan ; Liu, Ping ; Jiang, Liguo... - p. 1-6 , 2023
 
?
14

Automatic Layout Symmetry Extraction for Analog Constraint ..:

, In: 2023 International Symposium of Electronics Design Automation (ISEDA),
Yao, Weiqiang ; Gao, Xiaohan ; Lin, Yibo. - p. 76-81 , 2023
 
?
15

On a Moreau Envelope Wirelength Model for Analytical Global..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
Liao, Peiyu ; Liu, Hongduo ; Lin, Yibo.. - p. 1-6 , 2023
 
1-15