ANDO, T.
46  Ergebnisse:
Personensuche X
?
1

Deep learning acceleration in 14nm CMOS compatible ReRAM ar..:

, In: 2022 International Electron Devices Meeting (IEDM),
Gong, N. ; Rasch, M.J. ; Seo, S.-C.... - p. 33.7.1-33.7.4 , 2022
 
?
2

Ultra-scaled Conformal Scavenging Electrode with Superior T..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Rozen, J. ; Suu, K. ; Hatanaka, M.... - p. 36.4.1-36.4.4 , 2019
 
?
3

Toward High Performance SiGe Channel CMOS: Design of High E..:

, In: 2018 IEEE International Electron Devices Meeting (IEDM),
Lee, C.H. ; Hashemi, P. ; Guo, D.... - p. 35.1.1-35.1.4 , 2018
 
?
4

Stress evaluation of steel plates by chaos of Barkhausen no..:

, In: IEEE International Digest of Technical Papers on Magnetics Conference,
Tsuchida, Y. ; Ando, T. ; Enokizono, M. - p. BV12 , 2002
 
?
5

A 18ns 8KW × 9b NMOS RAM:

, In: 1986 IEEE International Solid-State Circuits Conference. Digest of Technical Papers,
Segawa, M. ; Ariizumi, S. ; Suzuki, Y.... - p. 202,203 , 1986
 
?
6

Casting compositions of new cycloaliphatic epoxy resins for..:

, In: 1975 EIC 12th Electrical/Electronics Insulation Conference,
Teratani, H. ; Nishizaki, S. ; Ando, T... - p. 197-201 , 1975
 
?
7

Materials informatics with machine learning:

, In: Reference Module in Materials Science and Materials Engineering,
Miyake, T. ; Ando, Y. , 2023
 
?
8

Soft- and Hard-Error Radiation Reliability of 228 KB $3\mat..:

, In: 2023 IEEE International Reliability Physics Symposium (IRPS),
Takahashi, H. ; Okamoto, Y. ; Hamada, T.... - p. 1-6 , 2023
 
?
9

1Mbit 1T1C 3D DRAM with Monolithically Stacked One Planar F..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Okamoto, Y. ; Komura, Y. ; Mizuguchi, T.... - p. 1-2 , 2023
 
?
10

Contributors:

, In: Twin Research for Everyone,
Almqvist, Catarina ; Ando, Juko ; Bartels, Meike... - p. xxiii-xxx , 2022
 
?
11

List of Contributors:

, In: Molecular Spectroscopy and Quantum Dynamics,
Ando, Toshiaki ; Baumert, Thomas ; Bayer, Tim... - p. xi-xii , 2021
 
?
12

Novel Analog in-Memory Compute with > 1 nA Current/Cell and..:

, In: 2021 IEEE International Electron Devices Meeting (IEDM),
Baba, H. ; Ohshita, S. ; Hamada, T.... - p. 21.2.1-21.2.4 , 2021
 
?
13

A 79 GHz 13.5 dBm $\mathbf{P}_{\mathrm{sat}}$ at 150°C Tran..:

, In: 2020 IEEE 20th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF),
Kishimoto, S. ; Ando, I. ; Kohtani, M.... - p. 66-69 , 2020
 
?
14

Contributors:

, In: Shackelford's Surgery of the Alimentary Tract, 2 Volume Set,
 
?
15

Spin-transfer-torque magnetoresistive random-access memory ..:

, In: Advances in Non-Volatile Memory and Storage Technology,
Hanyu, T. ; Endoh, T. ; Ando, Y.... - p. 237-281 , 2019
 
1-15