An-Yu Cheng
561  Ergebnisse:
Personensuche X
?
1

Application of the In-Vehicle FlexRay Network on steer-by-w..:

, In: 2010 International Conference on Mechanic Automation and Control Engineering,
An-yu Cheng ; Rui Pang ; Shuang Zhao.. - p. 5426-5431 , 2010
 
?
2

Multi-granular Adversarial Attacks against Black-box Neural..:

, In: Proceedings of the 47th International ACM SIGIR Conference on Research and Development in Information Retrieval,
Liu, Yu-An ; Zhang, Ruqing ; Guo, Jiafeng... - p. 1391-1400 , 2024
 
?
3

A 22nm 8Mb STT-MRAM Near-Memory-Computing Macro with 8b-Pre..:

, In: 2023 IEEE International Solid- State Circuits Conference (ISSCC),
Chiu, Yen-Cheng ; Khwa, Win-San ; Li, Chung-Yuan... - p. 496-498 , 2023
 
?
4

1st Workshop on Maritime Computer Vision (MaCVi) 2023: Chal..:

, In: 2023 IEEE/CVF Winter Conference on Applications of Computer Vision Workshops (WACVW),
Kiefer, Benjamin ; Kristan, Matej ; Pers, Janez... - p. 265-302 , 2023
 
?
5

Topic-oriented Adversarial Attacks against Black-box Neural..:

, In: Proceedings of the 46th International ACM SIGIR Conference on Research and Development in Information Retrieval,
Liu, Yu-An ; Zhang, Ruqing ; Guo, Jiafeng... - p. 1700-1709 , 2023
 
?
6

Black-box Adversarial Attacks against Dense Retrieval Model..:

, In: Proceedings of the 32nd ACM International Conference on Information and Knowledge Management,
Liu, Yu-An ; Zhang, Ruqing ; Guo, Jiafeng... - p. 1647-1656 , 2023
 
?
7

Object-Level Unknown Obstacle Detection:

, In: 2023 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS),
Huang, Chuan-Yuan ; Chen, Cheng-Tsung ; Chen, Yu-An. - p. 5722-5729 , 2023
 
?
8

MAAIG : Motion Analysis And Instruction Generation:

, In: Proceedings of the 5th ACM International Conference on Multimedia in Asia Workshops,
Yeh, Wei-Hsin ; Lin, Pei Hsin ; Su, Yu-An.. - p. 1-5 , 2023
 
?
9

A 22nm 4Mb STT-MRAM Data-Encrypted Near-Memory Computation ..:

, In: 2022 IEEE International Solid- State Circuits Conference (ISSCC),
Chiu, Yen-Cheng ; Yang, Chia-Sheng ; Teng, Shih-Hsin... - p. 178-180 , 2022
 
?
10

Extracting Crime Prosecution Elements based on Neural Machi..:

, In: 2022 IEEE International Conference on Big Data (Big Data),
Tsou, Jui-Ching ; Hsieh, Kai-Yu ; Huang, Chen-Hua... - p. 3329-3335 , 2022
 
?
11

Investigation of Electrical Characteristics in Low-Temperat..:

, In: 2022 IET International Conference on Engineering Technologies and Applications (IET-ICETA),
 
?
12

Thermal Design of SiC Power Module for EV/HEV Applications:

, In: 2022 17th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT),
 
?
13

Design and Construction of High-Frequency Cardiac Defibrill..:

, In: 2020 42nd Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC),
Chiou, Yu-An ; Cheng, Li-Kuan ; Lin, Shien-Fong - p. 2614-2617 , 2020
 
?
15

Pyramid Network with Online Hard Example Mining for Accurat..:

, In: Statistical Atlases and Computational Models of the Heart. Atrial Segmentation and LV Quantification Challenges; Lecture Notes in Computer Science,
Bian, Cheng ; Yang, Xin ; Ma, Jianqiang... - p. 237-245 , 2019
 
1-15