Chang, Hung-Chieh
561  Ergebnisse:
Personensuche X
?
1

U-MRAM PUF: A Novel Unipolar-MRAM for Power and Area Effici..:

, In: 2024 International VLSI Symposium on Technology, Systems and Applications (VLSI TSA),
Shih, Ching ; Hong, Ming-Chun ; Wang, Chih-Yao... - p. 1-2 , 2024
 
?
2

2.1 A 4nm 3.4GHz Tri-Gear Fully Out-of-Order ARMv9.2 CPU Su..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
 
?
3

Design of High-RA STT-MRAM for Future Energy-Efficient In-M..:

, In: 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT),
Hong, Ming-Chun ; Su, Yi-Hui ; Chen, Guan-Long... - p. 1-2 , 2023
 
?
4

U-MRAM: Transistor-Less, High-Speed (10 ns), Low-Voltage (0..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Wu, Ming-Hung ; Hong, Ming-Chun ; Shih, Ching... - p. 1-2 , 2023
 
?
5

In0.52Al0.48As Based Single Photon Avalanche Diodes with Mu..:

, In: 2023 Optical Fiber Communications Conference and Exhibition (OFC),
Wang, Po-Shun ; Hung, Yu-Ying ; Fang, Tzu-Yuan... - p. 1-3 , 2023
 
?
6

A Deep Learning Methodology to Detect Trojaned AI-based DDo..:

, In: 2022 8th International Conference on Automation, Robotics and Applications (ICARA),
Chen, Yen-Hung ; Lai, Yuan-Cheng ; Lu, Cho-Hsun... - p. 243-246 , 2022
 
?
7

Highly Reliable, Scalable, and High-Yield HfZrOx FRAM by Ba..:

, In: 2022 International Electron Devices Meeting (IEDM),
Lin, Yu-De ; Yeh, Po-Chun ; Dai, Jheng-Yang... - p. 32.1.1-32.1.4 , 2022
 
?
8

Accuracy Tolerant Neural Networks Under Aggressive Power Op..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Wu, Xiang-Xiu ; Hung, Yi-Wen ; Chen, Yung-Chih. - p. 774-779 , 2020
 
?
9

Accuracy tolerant neural networks under aggressive power op..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Wu, Xiang-Xiu ; Hung, Yi-Wen ; Chen, Yung-Chih. - p. 774-779 , 2020
 
?
10

Searching toward pareto-optimal device-aware neural archite..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
11

A Supervised Hybrid Classifier for Brain Tissues and White ..:

, In: 2017 14th International Symposium on Pervasive Systems, Algorithms and Networks & 2017 11th International Conference on Frontier of Computer Science and Technology & 2017 Third International Symposium of Creative Computing (ISPAN-FCST-ISCC),
Chen, Hsian-Min ; Wang, Hsin Che ; Chang, Chein-I... - p. 375-379 , 2017
 
?
12

Contactless Stacked-die Testing for Pre-bond Interposers:

, In: Proceedings of the 51st Annual Design Automation Conference,
 
?
13

Package geometric aware thermal analysis by infrared-radiat..:

, In: Proceedings of the conference on Design, Automation & Test in Europe,
Chien, Jui-Hung ; Yu, Hao ; Hsu, Ruei-Siang.. - p. 1-4 , 2014
 
?
14

Package geometric aware thermal analysis by infrared-radiat..:

, In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Chien, Jui-Hung ; Yu, Hao ; Hsu, Ruei-Siang.. - p. 1-4 , 2014
 
?
15

A novel sequential circuit optimization with clock gating l..:

, In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design,
 
1-15