Lin, Hsueh-Min
127  Ergebnisse:
Personensuche X
?
1

A pattern-based verification approach for a multi-core syst..:

, In: Proceedings of the 2011 ACM Symposium on Applied Computing,
 
?
2

Si Metal-Oxide-Semiconductor and Si/SiGe Heterostructure Qu..:

, In: 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA),
Wu, Yu-Jui ; Chiang, Chih-Ying ; Tsao, Hung-Yu... - p. 1-2 , 2022
 
?
3

Context Enhanced Recurrent Neural Network for Session-Aware..:

, In: Communications in Computer and Information Science; Technologies and Applications of Artificial Intelligence,
 
?
4

Ge Single-Crystal-Island (Ge-SCI) Technique and BEOL Ge Fin..:

, In: 2021 IEEE International Electron Devices Meeting (IEDM),
Chung, Hao-Tung ; Shih, Bo-Jheng ; Yang, Chih-Chao... - p. 34.5.1-34.5.4 , 2021
 
?
5

Monolithic 3D SRAM-CIM Macro Fabricated with BEOL Gate-All-..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Hsueh, Fu-Kuo ; Lee, Chun-Ying ; Xue, Cheng-Xin... - p. 3.3.1-3.3.4 , 2019
 
?
6

Service Level Virtualization (SLV) : A Preliminary Imple..:

, In: Proceedings of the 24th Annual International Conference on Mobile Computing and Networking,
Qiu, Bo-Jun ; Hsueh, Yu-Sen ; Chen, Jyh-Cheng... - p. 669-671 , 2018
 
?
7

Chip Performance Prediction Using Machine Learning Techniqu..:

, In: 2021 International Symposium on VLSI Design, Automation and Test (VLSI-DAT),
Su, Min-Yan ; Lin, Wei-Chen ; Kuo, Yen-Ting... - p. 1-4 , 2021
 
?
8

A Research Structure of Big Data Analysis and Application f..:

, In: 2023 9th International Conference on Applied System Innovation (ICASI),
Chang, Jieh-Ren ; Wang, Chao-Jen ; Wei, Zhong-Kai.. - p. 220-222 , 2023
 
?
9

Glomerulus Detection on Light Microscopic Images of Renal P..:

, In: Neural Information Processing; Lecture Notes in Computer Science,
Lo, Ying-Chih ; Juang, Chia-Feng ; Chung, I-Fang... - p. 369-377 , 2018
 
?
10

Contactless Stacked-die Testing for Pre-bond Interposers:

, In: Proceedings of the 51st Annual Design Automation Conference,
 
?
11

Package geometric aware thermal analysis by infrared-radiat..:

, In: Proceedings of the conference on Design, Automation & Test in Europe,
Chien, Jui-Hung ; Yu, Hao ; Hsu, Ruei-Siang.. - p. 1-4 , 2014
 
?
12

Package geometric aware thermal analysis by infrared-radiat..:

, In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Chien, Jui-Hung ; Yu, Hao ; Hsu, Ruei-Siang.. - p. 1-4 , 2014
 
?
13

Complexity Reduction of H.263 to H.264 Transcoder with Fast..:

, In: 2007 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
14

235-GHz Amplifier-Frequency-Multiplier Chain with Optimal H..:

, In: 2024 IEEE/MTT-S International Microwave Symposium - IMS 2024,
 
?
15

Aspect-Based Sentiment Analysis with Semi-Supervised Approa..:

, In: 2023 International Conference on Artificial Intelligence in Information and Communication (ICAIIC),
Nuha, Ulin ; Lin, Chih-Hsueh - p. 444-447 , 2023
 
1-15