Ming-Tay, Lin
55  Ergebnisse:
Personensuche X
?
1

A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion o..:

, In: 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC),
Lin, Tay-Jyi ; Liao, Chen-Zong ; Hu, You-Jia... - p. 7-8 , 2022
 
?
2

Design and Implementation for Water Sensing System of Unman..:

, In: 2023 IEEE 16th International Conference on Nano/Molecular Medicine & Engineering (NANOMED),
Gong, Tay-Wen ; Tu, Yu-Sheng ; Lin, Ming-Hung... - p. 230-235 , 2023
 
?
3

Complexity-effective auditory compensation for digital hear..:

, In: 2008 IEEE International Symposium on Circuits and Systems (ISCAS),
Yu-Ting Kuo ; Tay-Jyi Lin ; Wei-Han Chang... - p. None , 2008
 
?
4

Improving datapath utilization of programmable DSP with com..:

, In: 2008 IEEE International Symposium on Circuits and Systems (ISCAS),
Shih-Hao Ou ; Yi Cho ; Tay-Jyi Lin. - p. None , 2008
 
?
5

Oriented IC Design Approach for Small-Volume, Performance-D..:

, In: 2024 10th International Conference on Applied System Innovation (ICASI),
 
?
7

A 0.21V 40nm NAND-ROM for IoT Sensing Systems with Long Sta..:

, In: 2020 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
8

A 0.23V 40nm OAI-ROM with Low Active and Standby Power for ..:

, In: 2020 International Conference on Electronics, Information, and Communication (ICEIC),
 
?
9

Accelerating R Data Analytics in IoT Edge Systems by Memory..:

, In: 2017 IEEE 11th International Conference on Application of Information and Communication Technologies (AICT),
 
?
10

A low complexity edge-preserved image compression algorithm..:

, In: 2016 IEEE 5th Global Conference on Consumer Electronics,
 
?
11

Collaborative voltage scaling with online STA and variable-..:

, In: Proceedings of the 20th symposium on Great lakes symposium on VLSI,
Lin, Tay-Jyi ; Hsiao, Pi-Cheng ; Lin, Chi-Hung... - p. 347-352 , 2010
 
?
12

RunAssert : a non-intrusive run-time assertion for paral..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Wen, Chi-Neng ; Chou, Shu-Hsuan ; Chen, Tien-Fu. - p. 287-290 , 2010
 
?
13

Hierarchical memory scheduling for multimedia MPSoCs:

, In: Proceedings of the International Conference on Computer-Aided Design,
Lin, Ye-Jyun ; Yang, Chia-Lin ; Lin, Tay-Jyi.. - p. 190-196 , 2010
 
?
14

Ultra low-power ANSI S1.11 filter bank for digital hearing ..:

, In: Proceedings of the 2009 Asia and South Pacific Design Automation Conference,
Kuo, Yu-Ting ; Lin, Tay-Jyi ; Li, Yueh-Tai.. - p. 115-116 , 2009
 
?
15

Multithreaded coprocessor interface for multi-core multimed..:

, In: Proceedings of the 2008 Asia and South Pacific Design Automation Conference,
Ou, Shih-Hao ; Lin, Tay-Jyi ; Deng, Xiang Sheng.. - p. 115-116 , 2008
 
1-15