Ozturk, O.
35  Ergebnisse:
Personensuche X
?
1

Process variation aware thread mapping for chip multiproces..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Hong, S. ; Narayanan, S. H. K. ; Kandemir, M.. - p. 821-826 , 2009
 
?
2

Postoperative Granuloma after Stapedectomy: Is It Destiny o..:

, In: Otosclerosis and Stapes Surgery; Advances in Oto-Rhino-Laryngology,
Batman, C. ; Öztürk, Ö. ; Ramadan, S.S. - p. 285-295 , 2007
 
?
3

Compiler-Directed Variable Latency Aware SPM Management to ..:

, In: Proceedings of the International Symposium on Code Generation and Optimization,
Ozturk, O. ; Chen, G. ; Kandemir, M.. - p. 232-243 , 2007
 
?
4

Compiler-Guided data compression for reducing memory consum..:

, In: Proceedings of the 2006 Asia and South Pacific Design Automation Conference,
Ozturk, O. ; Chen, G. ; Kandemir, M.. - p. 814-819 , 2006
 
?
5

Minimizing Energy Consumption of Banked Memories Using Data..:

, In: ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design,
Koc, H. ; Ozturk, O. ; Kandemir, M... - p. None , 2006
 
?
6

Compiler-directed management of leakage power in software-m..:

, In: IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06),
Chen, G. ; Li, M. ; Kandemir, M... - p. 2 pp. , 2006
 
?
7

Optimizing code parallelization through a constraint networ..:

, In: 2006 43rd ACM/IEEE Design Automation Conference,
Ozturk, O. ; Guilin Chen ; Kandemir, M. - p. 683-688 , 2006
 
?
8

Selective code/data migration for reducing communication en..:

, In: Proceedings of the 16th ACM Great Lakes symposium on VLSI,
Ozturk, O. ; Kandemir, M. ; Son, S. W.. - p. 386-391 , 2006
 
?
9

Minimizing energy consumption of banked memories using data..:

, In: Proceedings of the 2006 international symposium on Low power electronics and design,
Koc, H. ; Ozturk, O. ; Kandemir, M... - p. 358-362 , 2006
 
?
10

Optimal topology exploration for application-specific 3D ar..:

, In: Asia and South Pacific Conference on Design Automation, 2006.,
Ozturk, O. ; Feng Wang ; Kandemir, M.. - p. 6 pp. , 2006
 
?
11

Leakage-aware SPM management:

, In: IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06),
Guangyu Chen ; Feihui Li ; Ozturk, O.... - p. 6 pp. , 2006
 
?
12

Cache miss clustering for banked memory systems:

, In: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design,
Ozturk, O. ; Chen, G. ; Kandemir, M.. - p. 244-250 , 2006
 
?
13

An ILP based approach to address code generation for digita..:

, In: Proceedings of the 16th ACM Great Lakes symposium on VLSI,
Ozturk, O. ; Kandemir, M. ; Tosun, S. - p. 37-42 , 2006
 
?
14

An integer linear programming based approach to simultaneou..:

, In: IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06),
Ozturk, O. ; Chen, G. ; Kandemir, M.. - p. 6 pp. , 2006
 
?
15

Access Pattern-Based Code Compression for Memory-Constraine..:

, In: Proceedings of the conference on Design, Automation and Test in Europe - Volume 2,
Ozturk, O. ; Saputra, H. ; Kandemir, M.. - p. 882-887 , 2005
 
1-15