Sánchez, Daniel A.
645  Ergebnisse:
Personensuche X
?
1

BitPacker: Enabling High Arithmetic Efficiency in Fully Hom..:

, In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2,
Samardzic, Nikola ; Sanchez, Daniel - p. 137-150 , 2024
 
?
2

Count-based Flexible Queries Through RL-Instances:

, In: 2024 IEEE International Conference on Fuzzy Systems (FUZZ-IEEE),
 
?
3

Trapezoid: A Versatile Accelerator for Dense and Sparse Mat..:

, In: 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA),
Yang, Yifan ; Emer, Joel S. ; Sanchez, Daniel - p. 931-945 , 2024
 
?
4

ISOSceles: Accelerating Sparse CNNs through Inter-Layer Pip..:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Yang, Yifan ; Emer, Joel S. ; Sanchez, Daniel - p. 598-610 , 2023
 
?
6

Contributors:

, In: Biocatalyst Immobilization,
Anjum, Tayyaba ; Attique, Syed Awais ; Bernal, Claudia... - p. xix-xxii , 2023
 
?
7

Long-term Static Analysis Rule Quality Monitoring Using Tru..:

, In: 2023 IEEE/ACM 45th International Conference on Software Engineering: Software Engineering in Practice (ICSE-SEIP),
Luo, Linghui ; Mukherjee, Rajdeep ; Tripp, Omer... - p. 315-326 , 2023
 
?
8

Phloem: Automatic Acceleration of Irregular Applications wi..:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Nguyen, Quan M. ; Sanchez, Daniel - p. 1262-1274 , 2023
 
?
9

An Extended Lyapunov-Function-Based Control Strategy for Ph..:

, In: 2023 IEEE Seventh Ecuador Technical Chapters Meeting (ECTM),
 
?
10

On the Implementation of a Multi-level Three-phase Inverter..:

, In: 2023 IEEE Seventh Ecuador Technical Chapters Meeting (ECTM),
 
?
11

Spatula: A Hardware Accelerator for Sparse Matrix Factoriza..:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
Feldmann, Axel ; Sanchez, Daniel - p. 91-104 , 2023
 
?
12

Understanding Group Dynamics During Synchronous Collaborati..:

, In: Communications in Computer and Information Science; Advances in Quantitative Ethnography,
Kaliisa, Rogers ; Dane, Jai Oni ; Sanchez, Daniel... - p. 81-95 , 2023
 
?
13

Accelerating RTL Simulation with Hardware-Software Co-Desig:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
14

Flexible Division Queries Based on RL-Instances:

, In: Information Processing and Management of Uncertainty in Knowledge-Based Systems; Communications in Computer and Information Science,
 
?
15

CraterLake : a hardware accelerator for efficient unboun..:

, In: Proceedings of the 49th Annual International Symposium on Computer Architecture,
 
1-15