Yen, Chih-Hsuan
314  Ergebnisse:
Personensuche X
?
1

Intermittent-Aware Neural Network Pruning:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
 
?
2

Differentiated handling of physical scenes and virtual obje..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
3

Exploring the Effect of Emotion Awareness Intervention on R..:

, In: CHI Conference on Human Factors in Computing Systems Extended Abstracts,
 
?
4

Improved U-Net Based on Dual Attention Mechanism for Glotti..:

, In: Recent Challenges in Intelligent Information and Database Systems; Communications in Computer and Information Science,
Lee, Shih-Hsiung ; Ni, Jui-Chung ; Shen, Yen-Cheng... - p. 234-243 , 2023
 
?
5

Comparison of MRI Image Segmentation Methods for Radiation-..:

, In: Springer Proceedings in Materials; Physics and Mechanics of New Materials and Their Applications,
Shieh, Chin-Shiuh ; Chou, Chi-Ming ; Kang, Chen-Lin... - p. 457-471 , 2021
 
?
6

15.7 A 32Mb RRAM in a 12nm FinFet Technology with a 0.0249μ..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Huang, Yi-Cheng ; Liu, Shang-Hsuan ; Chen, Hsu-Shun... - p. 288-290 , 2024
 
?
7

Analog Computing in Memory (CIM) Technique for General Matr..:

, In: 2022 International Electron Devices Meeting (IEDM),
Wei, Ming-Liang ; Lue, Hang-Ting ; Ho, Shu-Yin... - p. 33.3.1-33.3.4 , 2022
 
?
8

The Miniature Light-Field Camera with High Spatial Resoluti..:

, In: 2022 Conference on Lasers and Electro-Optics Pacific Rim (CLEO-PR),
 
?
9

Effects of an Augmented Reality-Based Educational Game on S..:

, In: Lecture Notes in Electrical Engineering; Innovative Computing,
Lin, Wen-Yen ; Chang, Jou-Ying ; Jiao, Qing-Yu.. - p. 69-76 , 2022
 
?
10

YOLO-Based Deep Learning Design for In-Cabin Monitoring Sys..:

, In: 2022 IEEE International Conference on Consumer Electronics (ICCE),
 
?
11

Quantifying the color changes in bruised skin using a color..:

, In: 2020 IEEE International Symposium on Medical Measurements and Applications (MeMeA),
 
?
12

Design and Implementation of Interleaved Boost Converters F..:

, In: 2019 IEEE International Conference on Industrial Technology (ICIT),
 
?
13

Monolithic 3D SRAM-CIM Macro Fabricated with BEOL Gate-All-..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Hsueh, Fu-Kuo ; Lee, Chun-Ying ; Xue, Cheng-Xin... - p. 3.3.1-3.3.4 , 2019
 
?
14

Contributor contact details:

, In: Physical Properties and Applications of Polymer Nanocomposites,
Tjong, S.C. ; Mai, Yiu-Wing ; Cheng, Xinjian... - p. xv-xx , 2010
 
?
15

A probabilistic analysis method for functional qualificatio..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Lin, Hsiu-Yi ; Wang, Chun-Yao ; Chang, Shih-Chieh... - p. 147-152 , 2012
 
1-15