Amarù, Luca Gaetano
53  Ergebnisse:
Personensuche X
?
2

An MIG-based compiler for programmable logic-in-memory arch..:

, In: Proceedings of the 53rd Annual Design Automation Conference,
 
?
4

Nanowire systems: technology and design:

Gaillardon, Pierre-Emmanuel ; Amarù, Luca Gaetano ; Bobba, Shashikanth...
Philosophical Transactions: Mathematical, Physical and Engineering Sciences.  372 (2014)  2012 - p. 1-15 , 2014
 
?
5

Vertically-stacked double-gate nanowire FETs with controlla..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
 
?
6

Nanofabric power analysis : Biosequence alignment case s..:

, In: Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures,
 
?
9

An MIG-based compiler for programmable logic-in-memory arch..:

, In: Proceedings of the 53rd ACM/EDAC/IEEE Design Automation Conference (DAC) / Naehyuck Chang, technical program co-chair (Korea Advanced Institute of Science & Technology, Daejeon, Republic of China), Valeria Bertacco, technical program co-chair (Univ. of Michigan, Ann Arbor, MI)
 
?
10

Mapping Monotone Boolean Functions into Majority:

Testa, Eleonora ; Soeken, Mathias ; Amaru, Luca G...
IEEE Transactions on Computers.  68 (2019)  5 - p. 791-797 , 2019
 
?
11

High Speed Architectures for Finding the First two Maximum/..:

Amaru, Luca G. ; Martina, Maurizio ; Masera, Guido
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  20 (2012)  12 - p. 2342-2346 , 2012
 
?
12

An RTL-to-GDSII Flow for Single Flux Quantum Circuits Based..:

Mlinar, Eric ; Whiteley, Stephen ; Belov, Anton...
IEEE Transactions on Applied Superconductivity.  33 (2023)  5 - p. 1-7 , 2023
 
?
13

Improving LUT-based optimization for ASICs:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Neto, Walter Lau ; Amarú, Luca ; Possani, Vinicius... - p. 421-426 , 2022
 
?
14

Read your Circuit : Leveraging Word Embedding to Guide L..:

, In: Proceedings of the 26th Asia and South Pacific Design Automation Conference,
 
?
15

A logic synthesis toolbox for reducing the multiplicative c..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020
 
1-15
Mehr Literatur finden