Chang, Kyungwook
140  Ergebnisse:
Personensuche X
?
1

Pin-3D: Effective Physical Design Methodology for Multidie ..:

Pentapati, Sai ; Chang, Kyungwook ; Lim, Sung Kyu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  43 (2024)  4 - p. 1009-1022 , 2024
 
?
2

Parameter Optimization of VLSI Placement Through Deep Reinf..:

Agnesina, Anthony ; Chang, Kyungwook ; Lim, Sung Kyu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  42 (2023)  4 - p. 1295-1308 , 2023
 
?
3

Design-Aware Partitioning-Based 3-D IC Design Flow With 2-D..:

Chang, Kyungwook ; Sinha, Saurabh ; Cline, Brian..
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  3 - p. 410-423 , 2022
 
?
4

Pseudo-3D Physical Design Flow for Monolithic 3D ICs: Compa..:

Park, Heechun ; Ku, Bon Woong ; Chang, Kyungwook..
ACM Transactions on Design Automation of Electronic Systems.  26 (2021)  5 - p. 1-25 , 2021
 
?
5

High-Performance Logic-on-Memory Monolithic 3-D IC Designs ..:

Zhu, Lingjun ; Bamberg, Lennart ; Pentapati, Sai Surya Kiran...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  29 (2021)  6 - p. 1152-1163 , 2021
 
?
6

Pin-3D : a physical synthesis and post-layout optimizati..:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
 
?
7

VLSI placement parameter optimization using deep reinforcem..:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
 
?
8

Pseudo-3D Approaches for Commercial-Grade RTL-to-GDS Tool F..:

, In: Proceedings of the 2020 International Symposium on Physical Design,
Park, Heechun ; Ku, Bon Woong ; Chang, Kyungwook.. - p. 47-54 , 2020
 
?
9

Full-Chip Electro-Thermal Coupling Extraction and Analysis ..:

, In: Proceedings of the 2020 International Symposium on Physical Design,
 
?
10

RTL-to-GDS Tool Flow and Design-for-Test Solutions for Mono..:

, In: Proceedings of the 56th Annual Design Automation Conference 2019,
 
?
11

System-Level Power Delivery Network Analysis and Optimizati..:

Chang, Kyungwook ; Das, Shidhartha ; Sinha, Saurabh...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  27 (2019)  4 - p. 888-898 , 2019
 
?
12

Road to High-Performance 3D ICs : Performance Optimizati..:

, In: Proceedings of the International Symposium on Low Power Electronics and Design,
 
?
13

Power, Performance, and Area Benefit of Monolithic 3D ICs f..:

Chang, Kyungwook ; Kadetotad, Deepak ; Cao, Yu..
ACM Journal on Emerging Technologies in Computing Systems.  14 (2018)  4 - p. 1-19 , 2018
 
?
14

Compact-2D : A Physical Design Methodology to Build Comm..:

, In: Proceedings of the 2018 International Symposium on Physical Design,
 
?
15

Power, Performance, and Area Benefit of Monolithic 3D ICs f..:

Chang, Kyungwook ; Kadetotad, Deepak ; Cao, Yu..
ACM Journal on Emerging Technologies in Computing Systems (JETC).  14 (2018)  4 - p. 1-19 , 2018
 
1-15