Das, Reetuparna
153  Ergebnisse:
Personensuche X
?
1

BitSET: Bit-Serial Early Termination for Computation Reduct..:

Pan, Yunjie ; Yu, Jiecao ; Lukefahr, Andrew..
ACM Transactions on Embedded Computing Systems.  22 (2023)  5s - p. 1-24 , 2023
 
?
2

GenDP: A Framework of Dynamic Programming Acceleration for ..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
Gu, Yufeng ; Subramaniyan, Arun ; Dunn, Tim... - p. 1-15 , 2023
 
?
 
?
5

Vector-Processing for Mobile Devices: Benchmark and Analysi:

, In: 2023 IEEE International Symposium on Workload Characterization (IISWC),
 
?
6

Hardware-friendly User-specific Machine Learning for Edge D..:

Goyal, Vidushi ; Das, Reetuparna ; Bertacco, Valeria
ACM Transactions on Embedded Computing Systems.  21 (2022)  5 - p. 1-29 , 2022
 
?
7

Multi-Layer In-Memory Processing:

, In: 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO),
Fujiki, Daichi ; Khadem, Alireza ; Mahlke, Scott. - p. 920-936 , 2022
 
?
9

Special Issue on In-Memory Computing:

Das, Reetuparna
IEEE Micro.  42 (2022)  1 - p. 87-88 , 2022
 
?
10

SquiggleFilter: An Accelerator for Portable Virus Detection:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
Dunn, Tim ; Sadasivan, Harisankar ; Wadden, Jack... - p. 535-549 , 2021
 
?
11

Accelerated seeding for genome sequence alignment with enum..:

, In: Proceedings of the 48th Annual International Symposium on Computer Architecture,
Subramaniyan, Arun ; Wadden, Jack ; Goliya, Kush... - p. 388-401 , 2021
 
?
12

Accelerated Seeding for Genome Sequence Alignment with Enum..:

, In: 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA),
Subramaniyan, Arun ; Wadden, Jack ; Goliya, Kush... - p. 388-401 , 2021
 
?
13

Neksus: An Interconnect for Heterogeneous System-In-Package..:

, In: 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS),
 
?
14

Seesaw : end-to-end dynamic sensing for IoT using machin..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
15

SeedEx: A Genome Sequencing Accelerator for Optimal Alignme..:

, In: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO),
Fujiki, Daichi ; Wu, Shunhao ; Ozog, Nathan... - p. 937-950 , 2020
 
1-15