Eyerman, Stijn
80  Ergebnisse:
Personensuche X
?
1

Message from the Program Chairs; ISPASS 2024:

, In: 2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
Heirman, Wim ; Eyerman, Stijn - p. xii-xiii , 2024
 
?
3

Simulating Wrong-Path Instructions in Decoupled Functional-..:

, In: 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
 
?
4

Scale-Model Architectural Simulation:

, In: 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
Liu, Wenjie ; Heirman, Wim ; Eyerman, Stijn.. - p. 58-68 , 2022
 
?
5

DRAM Bandwidth and Latency Stacks: Visualizing DRAM Bottlen..:

, In: 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
Eyerman, Stijn ; Heirman, Wim ; Hur, Ibrahim - p. 322-331 , 2022
 
?
6

Execution Time Estimation of Multithreaded Programs With Cr..:

Kagaris, Dimitri ; Dutta, Sourav ; Eyerman, Stijn
IEEE Transactions on Parallel and Distributed Systems.  33 (2022)  10 - p. 2470-2481 , 2022
 
?
7

Enabling Branch-Mispredict Level Parallelism by Selectively..:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
8

Modeling DRAM Timing in Parallel Simulators With Immediate-..:

Eyerman, Stijn ; Heirman, Wim ; Hur, Ibrahim
IEEE Computer Architecture Letters.  20 (2021)  2 - p. 90-93 , 2021
 
?
 
?
10

Automatic Sublining for Efficient Sparse Memory Accesses:

Heirman, Wim ; Eyerman, Stijn ; Bois, Kristof Du.
ACM Transactions on Architecture and Code Optimization.  18 (2021)  3 - p. 1-23 , 2021
 
?
11

Scale-Model Simulation:

Liu, Wenjie ; Heirman, Wim ; Eyerman, Stijn..
IEEE Computer Architecture Letters.  20 (2021)  2 - p. 175-178 , 2021
 
?
12

Automatic Sublining for Efficient Sparse Memory Accesses:

Heirman, Wim ; Eyerman, Stijn ; Bois, Kristof Du.
ACM Transactions on Architecture and Code Optimization (TACO).  18 (2021)  3 - p. 1-23 , 2021
 
?
13

Projecting Performance for PIUMA using Down-Scaled Simulati..:

, In: 2020 IEEE High Performance Extreme Computing Conference (HPEC),
Eyerman, Stijn ; Heirman, Wim ; Demir, Yigit.. - p. 1-7 , 2020
 
?
14

Breaking In-Order Branch Miss Recovery:

Eyerman, Stijn ; Heirman, Wim ; Steen, Sam Van den.
IEEE Computer Architecture Letters.  19 (2020)  1 - p. 30-33 , 2020
 
?
15

Many-core graph workload analysis:

, In: Proceedings of the International Conference for High Performance Computing, Networking, Storage, and Analysis,
 
1-15