Gupta, Meeta S.
161  Ergebnisse:
Personensuche X
?
1

Configurable Detection of SDC-causing Errors in Programs:

Lu, Qining ; Li, Guanpeng ; Pattabiraman, Karthik..
ACM Transactions on Embedded Computing Systems.  16 (2017)  3 - p. 1-25 , 2017
 
?
2

Configurable Detection of SDC-causing Errors in Programs:

Lu, Qining ; Li, Guanpeng ; Pattabiraman, Karthik..
ACM Transactions on Embedded Computing Systems (TECS).  16 (2017)  3 - p. 1-25 , 2017
 
?
3

SDCTune : a model for predicting the SDC proneness of an..:

, In: Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems,
 
?
4

Understanding soft error resiliency of BlueGene/Q compute c..:

, In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis,
Cher, Chen-Yong ; Gupta, Meeta S. ; Bose, Pradip. - p. 587-596 , 2014
 
?
5

Systematic Energy Characterization of CMP/SMT Processor Sys..:

, In: Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
6

Power management of multi-core chips : challenges and pi..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
 
?
7

Power-efficient, reliable microprocessor architectures :..:

, In: Proceedings of the 20th symposium on Great lakes symposium on VLSI,
 
?
8

Eliminating voltage emergencies via software-guided code tr..:

Reddi, Vijay Janapa ; Campanoni, Simone ; Gupta, Meeta S....
ACM Transactions on Architecture and Code Optimization.  7 (2010)  2 - p. 1-28 , 2010
 
?
9

Eliminating voltage emergencies via software-guided code tr..:

Reddi, Vijay Janapa ; Campanoni, Simone ; Gupta, Meeta S....
ACM Transactions on Architecture and Code Optimization (TACO).  7 (2010)  2 - p. 1-28 , 2010
 
?
10

Software-assisted hardware reliability : abstracting cir..:

, In: Proceedings of the 46th Annual Design Automation Conference,
 
?
11

Tribeca : design for PVT variations with local recovery ..:

, In: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture,
Gupta, Meeta S. ; Rivers, Jude A. ; Bose, Pradip.. - p. 435-446 , 2009
 
?
12

An event-guided approach to reducing voltage noise in proce..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
 
?
13

Understanding voltage variations in chip multiprocessors us..:

, In: Proceedings of the conference on Design, automation and test in Europe,
Gupta, Meeta S. ; Oatley, Jarod L. ; Joseph, Russ.. - p. 624-629 , 2007
 
?
14

Adaptive incremental checkpointing for massively parallel s..:

, In: Proceedings of the 18th annual international conference on Supercomputing,
Agarwal, Saurabh ; Garg, Rahul ; Gupta, Meeta S.. - p. 277-286 , 2004
 
?
15

Systematic energy characterization of CMP/SMT processor sys..:

Bertrán, Ramon ; Buyuktosunoglu, Alper ; Gupta, Meeta S..
Bertrán, R. [et al.]. Systematic energy characterization of CMP/SMT processor systems via automated micro-benchmarks. A: Annual IEEE/ACM International Symposium on Microarchitecture. "Proceedings: 2012 IEEE/ACM 45th International Symposium on Microarchitecture: 1-5 December 2012. Vancouver, British Columbia, Canada". Vancouver, British Columbia: 2012, p. 199-211..  , 2012
 
1-15
Mehr Literatur finden