Hung, Eddie
141  Ergebnisse:
Personensuche X
?
1

Invited Paper: RapidWright: Unleashing the Full Power of FP..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
Lavin, Chris ; Hung, Eddie - p. 1-7 , 2023
 
?
2

Narrowing the Synthesis Gap: Academic FPGA Synthesis is Cat..:

, In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
3

RapidStream 2.0: Automated Parallel Implementation of Laten..:

Guo, Licheng ; Maidee, Pongstorn ; Zhou, Yun...
ACM Transactions on Reconfigurable Technology and Systems.  16 (2023)  4 - p. 1-30 , 2023
 
?
4

100% Visibility at MHz Speed: Efficient Soft Scan-Chain Ins..:

, In: Applied Reconfigurable Computing. Architectures, Tools, and Applications; Lecture Notes in Computer Science,
 
?
5

KAPow : High-Accuracy, Low-Overhead Online Per-Module Po..:

Davis, James J. ; Hung, Eddie ; Levine, Joshua M....
ACM Transactions on Reconfigurable Technology and Systems (TRETS).  11 (2018)  1 - p. 1-22 , 2018
 
?
6

KOCL : Kernel-level Power Estimation for Arbitrary FPGA-..:

, In: Proceedings of the International Workshop on OpenCL,
 
?
7

KAPow: High-Accuracy, Low-Overhead Online Per-Module Power ..:

Davis, James J. ; Hung, Eddie ; Levine, Joshua M....
ACM Transactions on Reconfigurable Technology and Systems.  11 (2018)  1 - p. 1-22 , 2018
 
?
8

Knowledge is Power : Module-level Sensing for Runtime Op..:

, In: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Davis, James J. ; Hung, Eddie ; Levine, Joshua M.... - p. 276 ff. , 2016
 
?
9

Delay-Bounded Routing for Shadow Registers:

, In: Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Hung, Eddie ; Levine, Joshua M. ; Stott, Edward.. - p. 56-65 , 2015
 
?
10

Accelerating FPGA debug: Increasing visibility using a runt..:

Hung, Eddie ; Wilton, Steven J. E.
ACM Transactions on Design Automation of Electronic Systems.  19 (2014)  2 - p. 1-23 , 2014
 
?
11

Incremental Trace-Buffer Insertion for FPGA Debug:

Hung, Eddie ; Wilton, Steven J. E.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  22 (2014)  4 - p. 850-863 , 2014
 
?
12

Accelerating FPGA debug : Increasing visibility using a ..:

Hung, Eddie ; Wilton, Steven J. E.
ACM Transactions on Design Automation of Electronic Systems (TODAES).  19 (2014)  2 - p. 1-23 , 2014
 
?
13

Scalable Signal Selection for Post-Silicon Debug:

Hung, Eddie ; Wilton, Steven J. E.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  21 (2013)  6 - p. 1103-1115 , 2013
 
?
15

Towards simulator-like observability for FPGAs : a virtu..:

, In: Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays,
Hung, Eddie ; Wilton, Steven J.E. - p. 19-28 , 2013
 
1-15