Jia, Tianyu
1079  Ergebnisse:
Personensuche X
?
1

S2D-CIM: A 22nm 128Kb Systolic Digital Compute-in-Memory Ma..:

, In: 2024 IEEE Custom Integrated Circuits Conference (CICC),
Wu, Meng ; Ren, Wenjie ; Chen, Peiyu... - p. 1-2 , 2024
 
?
3

DCIM-GCN: Digital Computing-in-Memory Accelerator for Graph..:

Ma, Yufei ; Qiu, Yikan ; Zhao, Wentao...
IEEE Transactions on Circuits and Systems I: Regular Papers.  , 2024
 
?
4

14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerato..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
 
?
5

Silent Data Corruption in Robot Operating System: A Case fo..:

Hsiao, Yu-Shun ; Wan, Zishen ; Jia, Tianyu...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  43 (2024)  4 - p. 1037-1050 , 2024
 
?
6

Quartet: A 22nm 0.09mJ/lnference Digital Compute-in-Memory ..:

, In: 2024 IEEE Custom Integrated Circuits Conference (CICC),
Qiu, Yikan ; Ma, Yufei ; Wu, Meng... - p. 1-2 , 2024
 
?
7

Finite element analysis of the mechanical performance of se..:

Jia, Tianyu ; Guines, Dominique ; Laillé, Denis..
Journal of the Mechanical Behavior of Biomedical Materials.  151 (2024)  - p. 106345 , 2024
 
?
8

30.2 A 22nm 0.26nW/Synapse Spike-Driven Spiking Neural Netw..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Liu, Ying ; Ma, Yufei ; Shang, Ninghui... - p. 484-486 , 2024
 
?
9

Characterization and drivers of water and carbon fluxes dyn..:

Zhang, Simin ; Liu, Tingxi ; Duan, Limin...
Science of The Total Environment.  918 (2024)  - p. 170517 , 2024
 
?
10

Multiscale Canonical Coherence for Functional Corticomuscul..:

Sun, Jingyao ; Jia, Tianyu ; Lin, Ping-Ju...
IEEE Journal of Biomedical and Health Informatics.  28 (2024)  2 - p. 812-822 , 2024
 
?
 
?
 
?
13

Sparsity-Aware In-Memory Neuromorphic Computing Unit With C..:

Liu, Ying ; Chen, Zhiyuan ; Zhao, Wentao...
IEEE Transactions on Circuits and Systems I: Regular Papers.  , 2024
 
?
14

MAVFI: An End-to-End Fault Analysis Framework with Anomaly ..:

, In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Hsiao, Yu-Shun ; Wan, Zishen ; Jia, Tianyu... - p. 1-6 , 2023
 
?
15

DCIM-3DRec: A 3D Reconstruction Accelerator with Digital Co..:

, In: 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED),
Jing, Yiqi ; Sun, Yiyang ; Wang, Xiao... - p. 1-6 , 2023
 
1-15