Karandikar, Sagar
17  Ergebnisse:
Personensuche X
?
1

FireAxe: Partitioned FPGA-Accelerated Simulation of Large-S..:

, In: 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA),
Whangbo, Joonho ; Lim, Edwin ; Zhang, Chengyi Lux... - p. 501-515 , 2024
 
?
2

Profiling Hyperscale Big Data Processing:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
3

CDPU: Co-designing Compression and Decompression Processing..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
5

A Hardware Accelerator for Protocol Buffers:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
Karandikar, Sagar ; Leary, Chris ; Kennelly, Chris... - p. 462-478 , 2021
 
?
6

FirePerf : FPGA-Accelerated Full-System Hardware/Softwar..:

, In: Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems,
Karandikar, Sagar ; Ou, Albert ; Amid, Alon... - p. 715-731 , 2020
 
?
8

Invited: Chipyard - An Integrated SoC Research and Implemen..:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
9

Chipyard - An integrated SoC research and implementation en..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
10

FASED : FPGA-Accelerated Simulation and Evaluation of DR..:

, In: Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays,
Biancolin, David ; Karandikar, Sagar ; Kim, Donggyu... - p. 330-339 , 2019
 
?
11

Centrifuge: Evaluating full-system HLS-generated heterogeno..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
 
?
13

Firesim : FPGA-accelerated cycle-exact scale-out system ..:

, In: Proceedings of the 45th Annual International Symposium on Computer Architecture,
Karandikar, Sagar ; Mao, Howard ; Kim, Donggyu... - p. 29-42 , 2018
 
?
14

Network requirements for resource disaggregation:

, In: Proceedings of the 12th USENIX conference on Operating Systems Design and Implementation,
Gao, Peter X. ; Narayan, Akshay ; Karandikar, Sagar... - p. 249-264 , 2016
 
?
15

Vector Processors for Energy-Efficient Embedded Systems:

, In: Proceedings of the Third ACM International Workshop on Many-core Embedded Systems,
Dabbelt, Daniel ; Schmidt, Colin ; Love, Eric... - p. 10-16 , 2016
 
1-15