Lim Pei Siang, Sharon
50  Ergebnisse:
Personensuche X
?
1

Yield Improvement in Chip to Wafer Hybrid Bonding:

, In: 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC),
 
?
2

Heterogeneous System Level Integration Using Active Si Inte..:

Chidambaram, Vivek ; Lim Pei Siang, Sharon ; Xiangyu, Wang..
IEEE Journal of the Electron Devices Society.  7 (2019)  - p. 1209-1216 , 2019
 
?
3

Numerical and Experimental Investigation of Package Warpage..:

, In: 2023 IEEE 25th Electronics Packaging Technology Conference (EPTC),
 
?
4

Assembly challenges and demonstrations of ultra-large Anten..:

, In: 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC),
 
?
5

Comprehensive study on effect of chip layout and mold thick..:

, In: 2022 IEEE 24th Electronics Packaging Technology Conference (EPTC),
 
?
6

Development of wafer level solderball placement process for..:

, In: 2020 IEEE 22nd Electronics Packaging Technology Conference (EPTC),
 
?
7

Board Level Solder Joint Reliability Design and Analysis of..:

, In: 2020 IEEE 22nd Electronics Packaging Technology Conference (EPTC),
Zhang, Xiaowu ; Lau, Boon Long ; Chen, Haoran... - p. 316-320 , 2020
 
?
8

Development of 2.5D high density device on large ultra-thin..:

, In: 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC),
 
?
9

Application of Piezoresistive Stress Sensor in Mold-1st Fan..:

, In: 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC),
Bu, Lin ; Jong, Ming Chinq ; Lau, Boon Long... - p. 28-33 , 2019
 
?
10

Development of low profile fan out PoP solution with embedd..:

, In: 2014 IEEE 16th Electronics Packaging Technology Conference (EPTC),
 
?
11

Characterization of DAF tape for embedded micro wafer level..:

, In: 2009 11th Electronics Packaging Technology Conference,
 
?
12

Vertical Stacking of Heterogeneous Chiplets of Duplexer on ..:

, In: 2024 IEEE 74th Electronic Components and Technology Conference (ECTC),
Chong, Chai Tai ; Rotaru, Mihai ; Xiangyu, Wang... - p. 2017-2023 , 2024
 
?
13

Assembly process characterization of 3D Stacking of Heterog..:

, In: 2023 IEEE 25th Electronics Packaging Technology Conference (EPTC),
 
?
14

Wafer Warpage Optimization Via Finite Element Analysis for ..:

, In: 2022 IEEE 24th Electronics Packaging Technology Conference (EPTC),
 
?
15

NetFlex: A 22nm Multi-Chiplet Perception Accelerator in Hig..:

, In: 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Chou, Teyuh ; Tang, Wei ; Rotaru, Mihai D.... - p. 208-209 , 2022
 
1-15