Limaye, Ankur
21  Ergebnisse:
Personensuche X
?
1

Towards Automated Generation of Chiplet-Based Systems Invit..:

, In: 2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC),
 
?
2

Towards On-Chip Learning for Low Latency Reasoning with End..:

, In: Proceedings of the 28th Asia and South Pacific Design Automation Conference,
 
?
3

The SODA approach : leveraging high-level synthesis for ..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Agostini, Nicolas Bohm ; Curzel, Serena ; Limaye, Ankur... - p. 1359-1362 , 2022
 
?
 
?
7

SODA Synthesizer : An Open-Source, Multi-Level, Modular,..:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
 
?
8

DOSAGE : generating domain-specific accelerators for res..:

, In: Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design,
Limaye, Ankur ; Adegbija, Tosiron - p. 1-6 , 2021
 
?
9

Energy characterization of graph workloads:

Limaye, Ankur ; Tumeo, Antonino ; Adegbija, Tosiron
Sustainable Computing: Informatics and Systems.  29 (2021)  - p. 100465 , 2021
 
?
10

Bit-Wise and Multi-GPU Implementations of the DNA Recombina..:

, In: 2019 IEEE 26th International Conference on High Performance Computing, Data, and Analytics (HiPC),
Tavakoli Yazdi, Elnaz ; Limaye, Ankur ; Akoglu, Ali.. - p. 131-140 , 2019
 
?
 
?
15

Accelerating Data Processing at the Edge with Extreme Speci..:

ANTONINO TUMEO ; MARCO MINUTOLI ; VITO GIOVANNI CASTELLANA...
ispartofbook:ASCR Workshop on the Management and Storage of Scientific Data.  , 2022
 
1-15