Lin, Tay-Jyi
228  Ergebnisse:
Personensuche X
?
1

Oriented IC Design Approach for Small-Volume, Performance-D..:

, In: 2024 10th International Conference on Applied System Innovation (ICASI),
 
?
2

A 16 nm 140 TOPS/W 5 μJ/Inference Keyword Spotting Engine B..:

Lin, Tay-Jyi ; Ting, Yi-Hsuan ; Hsu, Meng-Ze...
IEEE Transactions on Circuits and Systems II: Express Briefs.  70 (2023)  12 - p. 4564-4568 , 2023
 
?
4

A 40nm CMOS SoC for Real-Time Dysarthric Voice Conversion o..:

, In: 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC),
Lin, Tay-Jyi ; Liao, Chen-Zong ; Hu, You-Jia... - p. 7-8 , 2022
 
?
5

A 0.21V 40nm NAND-ROM for IoT Sensing Systems with Long Sta..:

, In: 2020 IEEE International Symposium on Circuits and Systems (ISCAS),
 
?
6

A 0.23V 40nm OAI-ROM with Low Active and Standby Power for ..:

, In: 2020 International Conference on Electronics, Information, and Communication (ICEIC),
 
?
7

ULV-Turbo Cache for an Instantaneous Performance Boost on A..:

Wang, Po-Hao ; Chien, Yung-Chen ; Tsai, Shang-Jen...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  25 (2017)  12 - p. 3341-3354 , 2017
 
?
8

Accelerating R Data Analytics in IoT Edge Systems by Memory..:

, In: 2017 IEEE 11th International Conference on Application of Information and Communication Technologies (AICT),
 
?
9

Speculative Lookahead for Energy-Efficient Microprocessors:

Lin, Tay-Jyi ; Shyu, Ting-Yu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  24 (2016)  1 - p. 50-57 , 2016
 
?
10

A low complexity edge-preserved image compression algorithm..:

, In: 2016 IEEE 5th Global Conference on Consumer Electronics,
 
?
12

System-Level Performance and Power Optimization for MPSoC ..:

Lin, Ye-Jyun ; Yang, Chia-Lin ; Huang, Jiao-We...
ACM Transactions on Embedded Computing Systems (TECS).  14 (2015)  1 - p. 1-26 , 2015
 
?
13

System-Level Performance and Power Optimization for MPSoC: ..:

Lin, Ye-Jyun ; Yang, Chia-Lin ; Huang, Jiao-We...
ACM Transactions on Embedded Computing Systems.  14 (2015)  1 - p. 1-26 , 2015
 
?
14

Complexity-Aware Quantization and Lightweight VLSI Implemen..:

Kuo, Yu-Ting ; Lin, Tay-Jyi ; Liu, Chih-Wei
EURASIP Journal on Advances in Signal Processing.  2011 (2011)  1 - p. , 2011
 
?
15

Hierarchical circuit-switched NoC for multicore video proce..:

Chou, Shu-Hsuan ; Chen, Chien-Chih ; Wen, Chi-Neng..
Microprocessors and Microsystems.  35 (2011)  2 - p. 182-199 , 2011
 
1-15