Personensuche
X
?
Proceedings of the 50th Annual International Symposium on Computer Architecture ,
1
A Research Retrospective on AMD's Exascale Computing Journe:
, In:
?
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE) ,
2
The Next Era for Chiplet Innovation:
, In:
?
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) ,
3
AMD InstinctTM MI250X Accelerator enabled by Elevated Fanou..:
, In:
?
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture ,
4
Increasing GPU Translation Reach by Leveraging Under-Utiliz..:
, In:
?
Proceedings of the 48th Annual International Symposium on Computer Architecture ,
5
Pioneering chiplet technology and design for the AMD EPYC™ ..:
, In:
?
2020 IEEE International Symposium on High Performance Computer Architecture (HPCA) ,
6
Experiences with ML-Driven Design: A NoC Case Study:
, In:
?
Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques ,
7
Analyzing and Leveraging Shared L1 Caches in GPUs:
, In:
?
Proceedings of the 56th Annual Design Automation Conference 2019 ,
8
Efficient System Architecture in the Era of Monolithic 3D ..:
, In:
?
Proceedings of the International Conference on Computer-Aided Design ,
9
Machine learning for performance and power modeling of hete..:
, In:
?
Proceedings of the 45th Annual International Symposium on Computer Architecture ,
10
Modular routing design for chiplet-based systems:
, In:
?
Proceedings of the 45th Annual International Symposium on Computer Architecture ,
11
Generic system calls for GPUs:
, In:
?
Proceedings of the 45th Annual International Symposium on Computer Architecture ,
12
Scheduling page table walks for irregular GPU applications:
, In:
?
Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis ,
13
Leveraging near data processing for high-performance checkp..:
, In:
?
2017 IEEE International Symposium on High Performance Computer Architecture (HPCA) ,
14
Design and Analysis of an APU for Exascale Computing:
, In:
?
Proceedings of the 36th International Conference on Computer-Aided Design ,
15