Loh, Gabriel H.
1617  Ergebnisse:
Personensuche X
?
1

A Research Retrospective on AMD's Exascale Computing Journe:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
2

The Next Era for Chiplet Innovation:

, In: 2023 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
3

AMD InstinctTM MI250X Accelerator enabled by Elevated Fanou..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
 
?
4

Increasing GPU Translation Reach by Leveraging Under-Utiliz..:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
5

Pioneering chiplet technology and design for the AMD EPYC™ ..:

, In: Proceedings of the 48th Annual International Symposium on Computer Architecture,
Naffziger, Samuel ; Beck, Noah ; Burd, Thomas... - p. 57-70 , 2021
 
?
6

Experiences with ML-Driven Design: A NoC Case Study:

, In: 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA),
 
?
7

Analyzing and Leveraging Shared L1 Caches in GPUs:

, In: Proceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques,
 
?
8

Efficient System Architecture in the Era of Monolithic 3D ..:

, In: Proceedings of the 56th Annual Design Automation Conference 2019,
Stow, Dylan ; Akgun, Itir ; Huangfu, Wenqin... - p. 1-4 , 2019
 
?
9

Machine learning for performance and power modeling of hete..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
10

Modular routing design for chiplet-based systems:

, In: Proceedings of the 45th Annual International Symposium on Computer Architecture,
Yin, Jieming ; Lin, Zhifeng ; Kayiran, Onur... - p. 726-738 , 2018
 
?
11

Generic system calls for GPUs:

, In: Proceedings of the 45th Annual International Symposium on Computer Architecture,
 
?
12

Scheduling page table walks for irregular GPU applications:

, In: Proceedings of the 45th Annual International Symposium on Computer Architecture,
Shin, Seunghee ; Cox, Guilherme ; Oskin, Mark... - p. 180-192 , 2018
 
?
13

Leveraging near data processing for high-performance checkp..:

, In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis,
 
?
14

Design and Analysis of an APU for Exascale Computing:

, In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA),
 
?
15

Cost-effective design of scalable high-performance systems ..:

, In: Proceedings of the 36th International Conference on Computer-Aided Design,
Stow, Dylan ; Xie, Yuan ; Siddiqua, Taniya. - p. 728-735 , 2017
 
1-15