Nath, Siddhartha
169  Ergebnisse:
Personensuche X
?
2

BufFormer : A Generative ML Framework for Scalable Buffe..:

, In: Proceedings of the 28th Asia and South Pacific Design Automation Conference,
Liang, Rongjian ; Nath, Siddhartha ; Rajaram, Anand.. - p. 264-270 , 2023
 
?
 
?
6

ECO-GNN: Signoff Power Prediction Using Graph Neural Networ..:

Lu, Yi-Chen ; Nath, Siddhartha ; Pentapati, Sai.
ACM Transactions on Design Automation of Electronic Systems.  28 (2023)  4 - p. 1-22 , 2023
 
?
 
?
10

Why are Graph Neural Networks Effective for EDA Problems? ..:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
 
?
12

Generative self-supervised learning for gate sizing : in..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Nath, Siddhartha ; Pradipta, Geraldo ; Hu, Corey... - p. 1331-1334 , 2022
 
?
13

TransSizer : A Novel Transformer-Based Fast Gate Sizer:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
 
?
14

Session details: Session 7: Machine Learning for Physical D..:

, In: Proceedings of the 2021 International Symposium on Physical Design,
 
?
15

Impact of COVID-19 Pandemic Risk and Lockdown on the Indian..:

, In: Environmental, Social, and Governance Perspectives on Economic Development in Asia; International Symposia in Economic Theory and Econometrics,
 
1-15