Parcerisa, Joan-Manuel
91  Ergebnisse:
Personensuche X
?
1

Boustrophedonic Frames: Quasi-Optimal L2 Caching for Textur..:

, In: 2023 32nd International Conference on Parallel Architectures and Compilation Techniques (PACT),
 
?
2

Omega-Test: A Predictive Early-Z Culling to Improve the Gra..:

Corbalan-Navarro, David ; Aragon, Juan L. ; Anglada, Marti...
IEEE Transactions on Visualization and Computer Graphics.  28 (2022)  12 - p. 4375-4388 , 2022
 
?
3

Triangle Dropping: An Occluded-geometry Predictor for Energ..:

Corbalán-Navarro, David ; Aragón, Juan L. ; Anglada, Martí..
ACM Transactions on Architecture and Code Optimization (TACO).  19 (2022)  3 - p. 1-20 , 2022
 
?
4

DTexL: Decoupled Raster Pipeline for Texture Locality:

, In: 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO),
 
?
5

DTM-NUCA: Dynamic Texture Mapping-NUCA for Energy-Efficient..:

, In: 2022 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP),
 
?
6

TCOR: A Tile Cache with Optimal Replacement:

, In: 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
 
?
7

Triangle Dropping: An Occluded-geometry Predictor for Energ..:

Corbalán-Navarro, David ; Aragón, Juan L. ; Anglada, Martí..
ACM Transactions on Architecture and Code Optimization.  19 (2022)  3 - p. 1-20 , 2022
 
?
9

Visibility Rendering Order: Improving Energy Efficiency on ..:

de Lucas, Enrique ; Marcuello, Pedro ; Parcerisa, Joan-Manuel.
IEEE Transactions on Parallel and Distributed Systems.  30 (2019)  2 - p. 473-485 , 2019
 
?
10

Ultra-low power render-based collision detection for CPU/GP..:

, In: Proceedings of the 48th International Symposium on Microarchitecture,
 
?
12

Eliminating redundant fragment shader executions on a mobil..:

, In: Proceeding of the 41st annual international symposium on Computer architecuture,
 
?
13

TEAPOT : a toolset for evaluating performance, power and..:

, In: Proceedings of the 27th international ACM conference on International conference on supercomputing,
 
?
14

Parallel frame rendering : trading responsiveness for en..:

, In: Proceedings of the 22nd international conference on Parallel architectures and compilation techniques,
 
?
15

Boosting mobile GPU performance with a decoupled access/exe..:

, In: Proceedings of the 39th Annual International Symposium on Computer Architecture,
 
1-15