Shin, Hyunsung
147  Ergebnisse:
Personensuche X
?
1

13.2 A 32Gb 8.0Gb/s/pin DDR5 SDRAM with a Symmetric-Mosaic ..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Choi, Ikjoon ; Hong, Seunghwan ; Kim, Kihyun... - p. 234-236 , 2024
 
?
2

Hardware Architecture and Software Stack for PIM Based on C..:

, In: 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA),
Lee, Sukhan ; Kang, Shin-haeng ; Lee, Jaehoon... - p. 43-56 , 2021
 
?
3

Hardware architecture and software stack for PIM based on c..:

, In: Proceedings of the 48th Annual International Symposium on Computer Architecture,
Lee, Sukhan ; Kang, Shin-haeng ; Lee, Jaehoon... - p. 43-56 , 2021
 
?
5

McDRAM: Low Latency and Energy-Efficient Matrix Computation..:

Shin, Hyunsung ; Kim, Dongyoung ; Park, Eunhyeok...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  37 (2018)  11 - p. 2613-2622 , 2018
 
?
6

Main Memory in HPC: Do We Need More or Could We Live with L..:

Zivanovic, Darko ; Pavlovic, Milan ; Radulovic, Milan...
ACM Transactions on Architecture and Code Optimization.  14 (2017)  1 - p. 1-26 , 2017
 
?
7

Main Memory in HPC : Do We Need More or Could We Live wi..:

Zivanovic, Darko ; Pavlovic, Milan ; Radulovic, Milan...
ACM Transactions on Architecture and Code Optimization (TACO).  14 (2017)  1 - p. 1-26 , 2017
 
?
8

Defect Analysis and Cost-Effective Resilience Architecture ..:

, In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA),
Cha, Sanguhn ; Seongil, O. ; Shin, Hyunsung... - p. 61-72 , 2017
 
?
14

2.4 ATOMUS: A 5nm 32TFLOPS/128TOPS ML System-on-Chip for La..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Yu, Chang-Hyo ; Kim, Hyo-Eun ; Shin, Sungho... - p. 42-44 , 2024
 
?
15

ASAP1 Expression in Invasive Breast Cancer and Its Prognost..:

Park, Hosub ; Son, Hwangkyu ; Cha, Hyebin...
International Journal of Molecular Sciences.  24 (2023)  18 - p. 14355 , 2023
 
1-15