Soeken, Mathias
178  Ergebnisse:
Personensuche X
?
1

Using Azure Quantum Resource Estimator for Assessing Perfor..:

, In: Proceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis,
van Dam, Wim ; Mykhailova, Mariia ; Soeken, Mathias - p. 1414-1419 , 2023
 
?
2

Lowering the T-depth of Quantum Circuits via Logic Network ..:

Häner, Thomas ; Soeken, Mathias
ACM Transactions on Quantum Computing.  3 (2022)  2 - p. 1-15 , 2022
 
?
3

Lowering the T-depth of Quantum Circuits via Logic Network ..:

Häner, Thomas ; Soeken, Mathias
ACM Transactions on Quantum Computing.  3 (2022)  2 - p. 1-15 , 2022
 
?
4

Automatic oracle generation in microsoft's quantum developm..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Soeken, Mathias ; Mykhailova, Mariia - p. 1363-1366 , 2022
 
?
5

A Q# Implementation of a Quantum Lookup Table for Quantum A..:

, In: 2022 IEEE/ACM Third International Workshop on Quantum Computing Software (QCS),
 
?
7

Advances in Quantum Computation and Quantum Technologies: A..:

De Micheli, Giovanni ; Jiang, Jie-Hong R. ; Rand, Robert..
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.  12 (2022)  3 - p. 584-601 , 2022
 
?
8

Three-Input Gates for Logic Synthesis:

Marakkalage, Dewmini Sudara ; Testa, Eleonora ; Riener, Heinz...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  40 (2021)  10 - p. 2184-2188 , 2021
 
?
 
?
10

Multiplier Architectures: Challenges and Opportunities with..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
11

Exact DAG-Aware Rewriting:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
 
?
12

A Logic Synthesis Toolbox for Reducing the Multiplicative C..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020
 
?
14

Enabling accuracy-aware Quantum compilers using symbolic re..:

Meuli, Giulia ; Soeken, Mathias ; Roetteler, Martin.
Proceedings of the ACM on Programming Languages.  4 (2020)  OOPSLA - p. 1-26 , 2020
 
?
15

A logic synthesis toolbox for reducing the multiplicative c..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020
 
1-15