Srinivasa, Srivatsa
23  Ergebnisse:
Personensuche X
?
1

Monolithic 3D Enabled Processing-in- SRAM Memory:

, In: 2020 China Semiconductor Technology International Conference (CSTIC),
 
?
2

Look-Up Table based Energy Efficient Processing in Cache Su..:

, In: 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO),
 
?
6

A Monolithic-3D SRAM Design with Enhanced Robustness and In..:

, In: Proceedings of the International Symposium on Low Power Electronics and Design,
 
?
7

Symmetric 2-D-Memory Access to Multidimensional Data:

George, Sumitha ; Li, Xueqing ; Liao, Minli Julie...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  26 (2018)  6 - p. 1040-1050 , 2018
 
?
8

Emerging reconfigurable nanotechnologies : can they supp..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
9

Compact 3-D-SRAM Memory With Concurrent Row and Column Data..:

Srinivasa, Srivatsa ; Li, Xueqing ; Chang, Meng-Fan...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  26 (2018)  4 - p. 671-683 , 2018
 
?
11

On Continuing DNN Accelerator Architecture Scaling Using Ti..:

Murali, Gauthaman ; Iyer, Aditya ; Zhu, Lingjun...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  31 (2023)  10 - p. 1603-1613 , 2023
 
?
12

Design Methodology for Scalable 2.5D/3D Heterogenous Tiled ..:

, In: 2022 23rd International Symposium on Quality Electronic Design (ISQED),
 
?
13

CiM3D: Comparator-in-Memory Designs Using Monolithic 3-D Te..:

Ramanathan, Akshay Krishna ; Rangachar, Srivatsa Srinivasa ; Govindarajan, Hariram Thirucherai...
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.  7 (2021)  1 - p. 79-87 , 2021
 
1-15