Sukharev, Valeriy
31  Ergebnisse:
Personensuche X
?
1

Warpage Study by Employing an Advanced Simulation Methodolo..:

, In: Proceedings of the 2024 International Symposium on Physical Design,
 
?
2

Electromigration Test Chip Experiments from Realistic Power..:

, In: 2024 IEEE International Reliability Physics Symposium (IRPS),
Yi, Yong Hyeon ; Kim, Chris ; Kteyan, Armen... - p. 01-06 , 2024
 
?
3

Electromigration Assessment in Power Grids with Account of ..:

, In: Proceedings of the 2023 International Symposium on Physical Design,
 
?
4

Studying the Impact of Temperature Gradient on Electromigra..:

, In: 2023 IEEE International Reliability Physics Symposium (IRPS),
Yi, Yong Hyeon ; Kim, Chris ; Zhou, Chen.. - p. 1-5 , 2023
 
?
 
?
6

Novel Methodology for Assessing Chip-Package Interaction Ef..:

, In: Proceedings of the 2022 International Symposium on Physical Design,
Kteyan, Armen ; Choy, Jun-Ho ; Sukharev, Valeriy... - p. 83-89 , 2022
 
?
7

Experimental Validation of a Novel Methodology for Electrom..:

Sukharev, Valeriy ; Kteyan, Armen ; Najm, Farid N....
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  11 - p. 4837-4850 , 2022
 
?
 
?
9

Electromigration checking using a stochastic effective curr..:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
 
?
10

Power Grid Fixing for Electromigration-induced Voltage Fail..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
 
?
11

An Accurate Assessment of Chip-Package Interaction is a Key..:

, In: 2019 International 3D Systems Integration Conference (3DIC),
 
?
13

Fast physics-based electromigration assessment by efficient..:

, In: Proceedings of the 36th International Conference on Computer-Aided Design,
 
?
14

Physics-based full-chip TDDB assessment for BEOL interconne..:

, In: Proceedings of the 53rd Annual Design Automation Conference,
 
1-15