Wills, Lisa Wu
176  Ergebnisse:
Personensuche X
?
1

Transferable Pre-Synthesis PPA Estimation for RTL Designs W..:

Fang, Wenji ; Lu, Yao ; Liu, Shang...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  , 2024
 
?
2

Fast, Robust and Transferable Prediction for Hardware Logic..:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
Xu, Ceyu ; Sharma, Pragya ; Wang, Tianshu. - p. 167-179 , 2023
 
?
3

Special Session: Machine Learning for Embedded System Desig:

, In: Proceedings of the 2023 International Conference on Hardware/Software Codesign and System Synthesis,
 
?
4

MasterRTL: A Pre-Synthesis PPA Estimation Framework for Any..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
Fang, Wenji ; Lu, Yao ; Liu, Shang... - p. 1-9 , 2023
 
?
5

PyTFHE: An End-to-End Compilation and Execution Framework f..:

, In: 2023 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
Ma, Jiaao ; Xu, Ceyu ; Wills, Lisa Wu - p. 24-34 , 2023
 
?
6

ProSE: the architecture and design of a protein discovery e..:

, In: Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems,
Robson, Eyes ; Xu, Ceyu ; Wills, Lisa Wu - p. 655-668 , 2022
 
?
7

SNS's not a synthesizer : a deep-learning-based synthesi..:

, In: Proceedings of the 49th Annual International Symposium on Computer Architecture,
Xu, Ceyu ; Kjellqvist, Chris ; Wills, Lisa Wu - p. 847-859 , 2022
 
?
8

Session details: Session 7B: Accelerators III:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
10

Genesis : a hardware acceleration framework for genomic ..:

, In: Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture,
Ham, Tae Jun ; Bruns-Smith, David ; Sweeney, Brendan... - p. 254-267 , 2020
 
?
11

Genesis: A Hardware Acceleration Framework for Genomic Data..:

, In: 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA),
Ham, Tae Jun ; Bruns-Smith, David ; Sweeney, Brendan... - p. 254-267 , 2020
 
?
12

Guest Editorial: IEEE TC Special Issue on Domain-Specific A..:

Wills, Lisa Wu ; Swaminathan, Karthik
IEEE Transactions on Computers.  69 (2020)  8 - p. 1096-1098 , 2020
 
1-15