Zhao, Da-Sheng
23326  Ergebnisse:
Personensuche X
?
1

Accelerator on Multi-Granularity Attribute Reduction for Co..:

, In: 2021 International Conference on Machine Learning and Cybernetics (ICMLC),
 
?
2

Age, geochemistry and tectonic setting of Buqingshan ophiol..:

Bian, Qian-Tao ; Li, Di-Hui ; Pospelov, I...
Journal of Asian Earth Sciences.  23 (2004)  4 - p. 577-596 , 2004
 
?
 
?
4

Microphase Separation of Semiflexible Ring Diblock Copolyme..:

Qin, Dan-Yan ; Zhao, Sheng-Da ; Liu, Zhi-Xin..
Chinese Journal of Polymer Science.  42 (2023)  2 - p. 267-276 , 2023
 
?
5

Implementation of Multiple-Step Quantized STDP Based on Nov..:

Liu, Yi-Fan ; Wang, Da-Wei ; Dong, Zhe-Kang..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  , 2024
 
?
6

An Absorptive Common-Mode Suppression Filter Based on Resis..:

Deng, Yi-Fan ; Ma, Yi-Hao ; Wang, Da-Wei...
IEEE Transactions on Circuits and Systems II: Express Briefs.  71 (2024)  7 - p. 3483-3487 , 2024
 
?
7

Modeling and Simulation of RRAM With Carbon Nanotube Electr..:

Wang, Da-Wei ; Zhu, Jia-He ; Liu, Yi-Fan..
IEEE Transactions on Nanotechnology.  23 (2024)  - p. 1-8 , 2024
 
?
 
?
9

A dual-mode microstrip sensor for simultaneously extracting..:

Wu, Wen-Jing ; Zhao, Wen-Sheng ; Wang, Da-Wei..
Sensors and Actuators A: Physical.  349 (2023)  - p. 114000 , 2023
 
?
10

An Absorptive Common-Mode Stripline Filter for High-Speed D..:

, In: 2023 International Applied Computational Electromagnetics Society Symposium (ACES-China),
Chen, Yu-Bin ; Ma, Yi-Hao ; Wang, Da-Wei. - p. 1-3 , 2023
 
?
11

Investigation on Embedded Microchannel Heatsink for 2.5-D I..:

Zhang, Peng ; Wang, Da-Wei ; Zhao, Wen-Sheng
IEEE Transactions on Components, Packaging and Manufacturing Technology.  13 (2023)  6 - p. 838-848 , 2023
 
?
12

An Ultrahigh-Sensitivity Dual-Mode Microwave Sensor for Mic..:

Ye, Wei ; Wang, Da-Wei ; Wang, Jing...
IEEE Microwave and Wireless Technology Letters.  33 (2023)  7 - p. 1082-1085 , 2023
 
?
13

A Physics-Circuit Co-Electrothermal Simulation Approach for..:

, In: 2023 International Applied Computational Electromagnetics Society Symposium (ACES-China),
 
?
14

PSO-Algorithm-Assisted Design of Compact SSPP Transmission ..:

Zhang, Zeng-Cai ; Hou, Fang ; Wang, Da-Wei..
IEEE Microwave and Wireless Technology Letters.  33 (2023)  3 - p. 247-250 , 2023
 
?
15

Intelligent Design and Tuning Method for Embedded Thermoele..:

Zhang, Peng ; Wang, Da-Wei ; Zhao, Wen-Sheng...
IEEE Transactions on Components, Packaging and Manufacturing Technology.  13 (2023)  6 - p. 788-797 , 2023
 
1-15