Chang, Chi-Yen
639  results:
Search for persons X
?
2

The Different Effects of Motivational Messages and Monetary..:

, In: Extended Abstracts of the 2018 CHI Conference on Human Factors in Computing Systems,
 
?
3

Heart Valve Disease Recognition Using Phonocardiogram Signa..:

, In: 2023 20th International SoC Design Conference (ISOCC),
Chang, Yen-Ching ; Wang, Szu-Ting ; Hung, Ying-Hsiu... - p. 103-105 , 2023
 
?
4

Convolutional Neural Network-based Keyword Classification f..:

, In: 2023 20th International SoC Design Conference (ISOCC),
Hung, Ying-Hsiu ; Chang, Yen-Ching ; Wang, Suz-Ting... - p. 181-182 , 2023
 
?
5

Applying a Genetic Algorithm to Determine Premium Rate of O..:

, In: 2020 International Conference on Machine Learning and Cybernetics (ICMLC),
 
?
6

A low-power TCAM design using mask-aware match-line (MAML) ..:

, In: Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI,
Chang, Yen-Jen ; Wu, Tung-Chi - p. 109-114 , 2011
 
?
7

An enhanced global router with consideration of general lay..:

, In: Proceedings of the 2011 international symposium on Physical design,
 
?
8

GLADE : a modern global router considering layer directi..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
9

NTHU-Route 2.0 : a fast and stable global router:

, In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design,
Chang, Yen-Jung ; Lee, Yu-Ting ; Wang, Ting-Chi - p. 338-343 , 2008
 
?
10

An Electrical Impedance Spectroscopy IC with a Printable, F..:

, In: ESSCIRC 2023- IEEE 49th European Solid State Circuits Conference (ESSCIRC),
Yeh, Yung-Hua ; Liu, Wei-Cheng ; Lin, Yi-Jie... - p. 361-364 , 2023
 
?
11

15.2 A 28nm 64Kb Inference-Training Two-Way Transpose Multi..:

, In: 2020 IEEE International Solid- State Circuits Conference - (ISSCC),
Su, Jian-Wei ; Si, Xin ; Chou, Yen-Chi... - p. 240-242 , 2020
 
?
12

A Two-way SRAM Array based Accelerator for Deep Neural Netw..:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
13

15.5 A 28nm 64Kb 6T SRAM Computing-in-Memory Macro with 8b ..:

, In: 2020 IEEE International Solid- State Circuits Conference - (ISSCC),
Si, Xin ; Tu, Yung-Ning ; Huang, Wei-Hsing... - p. 246-248 , 2020
 
?
14

A two-way SRAM array based accelerator for deep neural netw..:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
15

A probabilistic analysis method for functional qualificatio..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Lin, Hsiu-Yi ; Wang, Chun-Yao ; Chang, Shih-Chieh... - p. 147-152 , 2012
 
1-15