Nikolić, Borivoje
51  results:
Search for persons X
?
1

RTL-Repair: Fast Symbolic Repair of Hardware Design Code:

, In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Laeufer, Kevin ; Fajardo, Brandon ; Ahuja, Abhik... - p. 867-881 , 2024
 
?
2

Simulator Independent Coverage for RTL Hardware Languages:

, In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Laeufer, Kevin ; Iyer, Vighnesh ; Biancolin, David... - p. 606-615 , 2023
 
?
3

Silicon Process Technology Constraints for Standardized Ver..:

, In: 2023 IEEE Custom Integrated Circuits Conference (CICC),
 
?
4

CDPU: Co-designing Compression and Decompression Processing..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
5

MoCA: Memory-Centric, Adaptive Execution for Multi-Tenant D..:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
 
?
6

A Heterogeneous SoC for Bluetooth LE in 28nm:

, In: 2023 IEEE Hot Chips 35 Symposium (HCS),
 
?
7

RoSÉ: A Hardware-Software Co-Simulation Infrastructure Enab..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
8

AuRORA: Virtualized Accelerator Orchestration for Multi-Ten..:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
Kim, Seah ; Zhao, Jerry ; Asanovic, Krste.. - p. 62-76 , 2023
 
?
 
?
10

ML for Analog Design: Good Progress, But More to Do:

, In: 2022 ACM/IEEE 4th Workshop on Machine Learning for CAD (MLCAD),
Nikolic, Borivoje - p. 53-54 , 2022
 
?
11

Constellation: An Open-Source SoC-Capable NoC Generator:

, In: 2022 15th IEEE/ACM International Workshop on Network on Chip Architectures (NoCArc),
 
?
12

ML for Analog Design: Good Progress, but More to Do:

, In: Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD,
Nikolić, Borivoje - p. 53-54 , 2022
 
?
13

Hammer : a modular and reusable physical design flow too..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Liew, Harrison ; Grubb, Daniel ; Wright, John... - p. 1335-1338 , 2022
 
?
14

Memory-Efficient Hardware Performance Counters with Approxi..:

, In: 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS),
Xu, Jingyi ; Kim, Sehoon ; Nikolic, Borivoje. - p. 226-228 , 2021
 
?
15

A Hardware Accelerator for Protocol Buffers:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
Karandikar, Sagar ; Leary, Chris ; Kennelly, Chris... - p. 462-478 , 2021
 
1-15