Chang, Yi-Chieh
623  results:
Search for persons X
?
2

U-MRAM PUF: A Novel Unipolar-MRAM for Power and Area Effici..:

, In: 2024 International VLSI Symposium on Technology, Systems and Applications (VLSI TSA),
Shih, Ching ; Hong, Ming-Chun ; Wang, Chih-Yao... - p. 1-2 , 2024
 
?
3

An Efficient Approach to Iterative Network Pruning:

, In: 2024 International VLSI Symposium on Technology, Systems and Applications (VLSI TSA),
 
?
4

IR drop Prediction Based on Machine Learning and Pattern Re..:

, In: Proceedings of the Great Lakes Symposium on VLSI 2024,
Chang, Yong-Fong ; Chen, Yung-Chih ; Cheng, Yu-Chen... - p. 516-519 , 2024
 
?
5

Structure and Performance Co-optimization for the Developme..:

, In: 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT),
 
?
6

U-MRAM: Transistor-Less, High-Speed (10 ns), Low-Voltage (0..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Wu, Ming-Hung ; Hong, Ming-Chun ; Shih, Ching... - p. 1-2 , 2023
 
?
7

GaN on Si RF performance with different AlGaN back barrier:

, In: 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT),
Hsieh, Chang-Yan ; Chen, Hui-Yu ; Tu, Po-Tsung... - p. 1-2 , 2023
 
?
8

In0.52Al0.48As Based Single Photon Avalanche Diodes with Mu..:

, In: 2023 Optical Fiber Communications Conference and Exhibition (OFC),
Wang, Po-Shun ; Hung, Yu-Ying ; Fang, Tzu-Yuan... - p. 1-3 , 2023
 
?
9

Detection of Synthesized Satellite Images Using Deep Neural..:

, In: 2023 17th International Conference on Ubiquitous Information Management and Communication (IMCOM),
 
?
10

Design of High-RA STT-MRAM for Future Energy-Efficient In-M..:

, In: 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT),
Hong, Ming-Chun ; Su, Yi-Hui ; Chen, Guan-Long... - p. 1-2 , 2023
 
?
11

Flexible chip placement via reinforcement learning : lat..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Chang, Fu-Chieh ; Tseng, Yu-Wei ; Yu, Ya-Wen... - p. 1392-1393 , 2022
 
?
12

Exploring the Design of Online Teaching Courses Based on th..:

, In: [ ] With Design: Reinventing Design Modes,
Liu, Shuo-Fang ; Wu, Yi-Chieh ; Chang, Ching-Fen. - p. 3164-3183 , 2022
 
?
13

Highly Reliable, Scalable, and High-Yield HfZrOx FRAM by Ba..:

, In: 2022 International Electron Devices Meeting (IEDM),
Lin, Yu-De ; Yeh, Po-Chun ; Dai, Jheng-Yang... - p. 32.1.1-32.1.4 , 2022
 
?
14

DeepOpht: Medical Report Generation for Retinal Images via ..:

, In: 2021 IEEE Winter Conference on Applications of Computer Vision (WACV),
Huang, Jia-Hong ; Huck Yang, C.-H. ; Liu, Fangyu... - p. 2441-2451 , 2021
 
?
15

Integrity of n-type channel surface for nano-node high-k ga..:

, In: 2021 International Conference on Mechanical, Aerospace and Automotive Engineering,
Wang, Mu-Chun ; Shen, Yi-Chun ; Shen, Tien-Szu... - p. 363-367 , 2021
 
1-15