Chen, Chao C.
3304  results:
Search for persons X
?
1

Developments in understanding Chinese leadership: paternali..:

, In: The Oxford handbook of Chinese psychology / edited by Michael Harris Bond
 
?
2

Experimental Study of Measurement-based Admission Control f..:

, In: 2007 IEEE International Conference on Mobile Adhoc and Sensor Systems,
Gupta, Dhruv ; Wu, Daniel ; Chen, Chao C.... - p. None , 2007
 
?
3

Contributors:

, In: Advances in Structural Adhesive Bonding,
 
?
4

Convolutional Block Design for Learned Fractional Downsampl..:

, In: 2022 56th Asilomar Conference on Signals, Systems, and Computers,
Chen, Li-Heng ; Bampis, Christos G. ; Li, Zhi.. - p. 640-644 , 2022
 
?
5

A Real-time Web-based Wildfire Simulation System:

, In: IECON 2016 - 42nd Annual Conference of the IEEE Industrial Electronics Society,
Rui Wu ; Chen, Chao ; Ahmad, Sajjad... - p. 4964-4969 , 2016
 
?
6

Test Generation for Defect-Based Faults of Scan Flip-Flops:

, In: 2023 IEEE 41st VLSI Test Symposium (VTS),
Nien, Yu-Teng ; Li, Chen-Hong ; Wu, Pei-Yin... - p. 1-7 , 2023
 
?
7

Path-Based Pre-Routing Timing Prediction for Modern Very La..:

, In: 2022 23rd International Symposium on Quality Electronic Design (ISQED),
Chen, Li-Wei ; Sui, Yao-Nien ; Lee, Tai-Cheng... - p. 1-6 , 2022
 
?
8

A Reinforcement Learning Agent for Obstacle-Avoiding Rectil..:

, In: Proceedings of the 2022 International Symposium on Physical Design,
Chen, Po-Yan ; Ke, Bing-Ting ; Lee, Tai-Cheng... - p. 107-115 , 2022
 
?
9

Power distribution network generation for optimizing IR-dro..:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
 
?
10

Test Methodology for Defect-based Bridge Faults:

, In: 2020 IEEE International Test Conference in Asia (ITC-Asia),
Hu, Yu-Pang ; Chang, Shuo-Wen ; Wu, Kai-Chiang... - p. 106-111 , 2020
 
?
11

Generating Routing-Driven Power Distribution Networks with ..:

, In: Proceedings of the 2016 on International Symposium on Physical Design,
Chang, Wen-Hsiang ; Chen, Li-De ; Lin, Chien-Hsueh... - p. 145-152 , 2016
 
?
12

Detecting stability faults in sub-threshold SRAMs:

, In: Proceedings of the International Conference on Computer-Aided Design,
Lin, Chen-Wei ; Yang, Hao-Yu ; Huang, Chin-Yuan.. - p. 28-33 , 2011
 
?
13

Mathematical yield estimation for two-dimensional-redundanc..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
14

Testing methods for detecting stuck-open power switches in ..:

, In: Proceedings of the International Conference on Computer-Aided Design,
Mu, Szu-Pang ; Wang, Yi-Ming ; Yang, Hao-Yu... - p. 155-161 , 2010
 
?
15

Power-switch routing for coarse-grain MTCMOS technologies:

, In: Proceedings of the 2009 International Conference on Computer-Aided Design,
 
1-15