Chen, Hsiao-hung Nancy
75  results:
Search for persons X
?
1

Reconfigurable Linear Amplifier for Envelope-Tracking Hybri..:

, In: 2023 30th IEEE International Conference on Electronics, Circuits and Systems (ICECS),
 
?
2

An asymptotic allocation for simultaneous simulation experi..:

, In: Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1,
Chen, Hsiao-Chang ; Chen, Chun-Hung ; Lin, Jianwu. - p. 359-366 , 1999
 
?
3

Computing budget allocation for simulation experiments with..:

, In: Proceedings of the 30th conference on Winter simulation,
Chen, Chun-Hung ; Yuan, Yu ; Chen, Hsiao-Chang.. - p. 735-742 , 1998
 
?
4

New development of optimal computing budget allocation for ..:

, In: Proceedings of the 29th conference on Winter simulation,
Chen, Hsiao-Chang ; Dai, Liyi ; Chen, Chun-Hung. - p. 334-341 , 1997
 
?
5

A gradient approach for smartly allocating computing budget..:

, In: Proceedings of the 28th conference on Winter simulation,
Chen, Chun-Hung ; Chen, Hsiao-Chang ; Dai, Liyi - p. 398-405 , 1996
 
?
6

Development of time-dependent Exciton diffusion solver for ..:

, In: 2022 International Conference on Numerical Simulation of Optoelectronic Devices (NUSOD),
Huang, Jun-Yu ; Hung, Hsiao-Chun ; Hsu, Kung-Chi... - p. 83-84 , 2022
 
?
7

Synthesize Models for Quantitative Analysis Using Automata ..:

, In: Networked Systems; Lecture Notes in Computer Science,
Chen, Yu-Fang ; Chung, Hsiao-Chen ; Hung, Wen-Chi... - p. 75-92 , 2019
 
?
8

21.4 A -108dBc THD+N, 2.3mW Class-H Headphone Amplifier wit..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Wen, Shon-Hang ; Hsiao, Chuan-Hung ; Huang, Yi-Wei... - p. 384-386 , 2024
 
?
9

Reconstruction of the Tea-Serving Automaton in the Ancient ..:

, In: Advances in Mechanism and Machine Science; Mechanisms and Machine Science,
Chai, Cheng-En ; Chen, Ling-Ling ; Chen, Yu-Hsun... - p. 1003-1011 , 2023
 
?
10

Load Balancing Algorithms and Their Impacts on Apache Kafka:

, In: 2023 IEEE International Conference on Big Data (BigData),
Hsiao, Hung-Chang ; Tsai, Chia-Ping ; Li, Zheng-Xian... - p. 1726-1735 , 2023
 
?
11

Post-Etch Yield Killer Defects in 3D NAND High Aspect Ratio..:

, In: 2023 34th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC),
 
?
12

Attention-based Learning for Sleep Apnea and Limb Movement ..:

, In: 2023 IEEE 97th Vehicular Technology Conference (VTC2023-Spring),
 
?
13

A −117dBc THD (−132dBc HD3) and 126dB DR Audio Decoder with..:

, In: 2022 IEEE International Solid- State Circuits Conference (ISSCC),
 
?
14

Apache submarine : a unified machine learning platform m..:

, In: Proceedings of the 2nd European Workshop on Machine Learning and Systems,
Chen, Kai-Hsun ; Su, Huan-Ping ; Chuang, Wei-Chiu... - p. 101-108 , 2022
 
?
15

Contactless Transfer Learning Based Apnea Detection System ..:

, In: 2022 IEEE 33rd Annual International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC),
Chen, Chia-Yu ; Hsiao, An-Hung ; Chiu, Chun-Jie. - p. 788-793 , 2022
 
1-15