Chen, You-Hua
1230  results:
Search for persons X
?
1

Employability Table for Mechanical and Mechanical College S..:

, In: Lecture Notes in Computer Science; Innovative Technologies and Learning,
Chen, Dyi-Cheng ; Hsiao, Hsi-Chi ; Chang, Jen-Chia... - p. 836-846 , 2019
 
?
2

Research status and Progress of Knowledge Integration:

, In: 2009 First International Conference on Information Science and Engineering,
Cai, You-hua ; Chen, Guo-hong - p. 2389-2393 , 2009
 
?
4

KCPMA: k-degree Contact Pattern Mining Algorithms for Movin..:

, In: 2024 27th International Conference on Computer Supported Cooperative Work in Design (CSCWD),
Chen, You ; Dai, Hua ; Jiang, Mingfeng... - p. 2764-2769 , 2024
 
?
5

Repurposing Drugs for Alzheimer's Diseases through Link Pre..:

, In: 2023 IEEE 11th International Conference on Healthcare Informatics (ICHI),
Xiao, Yongkang ; Hou, Yu ; Zhou, Huixue... - p. 750-752 , 2023
 
?
6

The Seventh Visual Object Tracking VOT2019 Challenge Result:

, In: 2019 IEEE/CVF International Conference on Computer Vision Workshop (ICCVW),
Kristan, Matej ; Matas, Jiri ; Leonardis, Ales... - p. 2206-2241 , 2019
 
?
7

20.1 NVE: A 3nm 23.2TOPS/W 12b-Digital-CIM-Based Neural Eng..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
Shih, Ming-En ; Hsieh, Shih-Wei ; Tsai, Ping-Yuan... - p. 360-362 , 2024
 
?
8

A real time driving emotion detection based on yolov7 neura..:

, In: 2023 IEEE/ACIS 8th International Conference on Big Data, Cloud Computing, and Data Science (BCD),
 
?
9

Bitline etch process development for Advanced process DRAM ..:

, In: 2022 China Semiconductor Technology International Conference (CSTIC),
Yao, Xing-Jun ; Xu, Li-Tian ; Zhang, Jan-Kun... - p. 01-02 , 2022
 
?
10

Contributors:

, In: Genetics, Neurology, Behavior, and Diet in Dementia,
 
?
11

Interlinking polymorphisms, estrogens, and Alzheimer diseas:

, In: Genetics, Neurology, Behavior, and Diet in Dementia,
 
?
12

List of Contributors:

, In: Recent Advances in Cancer Research and Therapy,
Cai, Ying ; Cao, Xin ; Chao, Chi-Hong... - p. xix-xxvi , 2012
 
?
13

U-MRAM PUF: A Novel Unipolar-MRAM for Power and Area Effici..:

, In: 2024 International VLSI Symposium on Technology, Systems and Applications (VLSI TSA),
Shih, Ching ; Hong, Ming-Chun ; Wang, Chih-Yao... - p. 1-2 , 2024
 
?
14

Development and Application of Experimental System for Hori..:

, In: Springer Series in Geomechanics and Geoengineering; Proceedings of the International Field Exploration and Development Conference 2020,
Zhang, Hong-yan ; Wang, Jin-you ; Zhang, Chun-hui... - p. 1974-1983 , 2021
 
?
15

Elastic Digital Twin Network Modeling toward Restraining Re..:

, In: 2023 IEEE 22nd International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom),
Wang, Shoufeng ; Chen, Hua-Min ; Ouyang, Ye... - p. 2240-2245 , 2023
 
1-15