Giraud, P.
24  results:
Search for persons X
?
1

Benefits of Design Assist Techniques on Performances and Re..:

, In: 2023 IEEE International Memory Workshop (IMW),
Giraud, B. ; Ricavy, S. ; Moursy, Y.... - p. 1-4 , 2023
 
?
2

Compute-In-Place Serial FeRAM: Enhancing Performance, Effic..:

, In: 2023 IFIP/IEEE 31st International Conference on Very Large Scale Integration (VLSI-SoC),
Noel, J.-P. ; Valea, E. ; Grenouillet, L.... - p. 1-6 , 2023
 
?
3

An Automated Design Methodology for Computational SRAM Dedi..:

, In: Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding,
Philippe, A. ; Ciampolini, L. ; Philippe, A.... - p. 1-7 , 2022
 
?
4

A Regulated Sensing Solution Based on a Self-reference Prin..:

, In: VLSI-SoC: Technology Advancement on SoC Design; IFIP Advances in Information and Communication Technology,
Gasquez, J. ; Giraud, B. ; Boivin, P.... - p. 225-243 , 2022
 
?
5

Low-Overhead Implementation of Binarized Neural Networks Em..:

, In: ESSDERC 2021 - IEEE 51st European Solid-State Device Research Conference (ESSDERC),
Ezzadeen, M. ; Majumdar, A. ; Bocquet, M.... - p. 83-86 , 2021
 
?
6

16kbit HfO2:Si-based 1T-1C FeRAM Arrays Demonstrating High ..:

, In: 2021 IEEE International Electron Devices Meeting (IEDM),
Francois, T. ; Coignus, J. ; Makosiej, A.... - p. 33.1.1-33.1.4 , 2021
 
?
7

List of contributors:

, In: Biologically Active Peptides,
Aguilar-Toalá, J.E. ; Aiello, Gilda ; Aita, Sara Elsa... - p. xxiii-xxvii , 2021
 
?
8

Computational SRAM Design Automation using Pushed-Rule Bitc..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Noel, J.-P. ; Egloff, V. ; Kooli, M.... - p. 1187-1192 , 2020
 
?
9

Reconfigurable tiles of computing-in-memory SRAM architectu..:

, In: Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design,
Gauchi, R. ; Egloff, V. ; Kooli, M.... - p. 121-126 , 2020
 
?
10

Computational SRAM design automation using pushed-rule bitc..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Noel, J.-P. ; Egloff, V. ; Kooli, M.... - p. 1187-1192 , 2020
 
?
11

Novel 1T2R1T RRAM-based Ternary Content Addressable Memory ..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Ly, D. R. B. ; Nowak, E. ; Vianello, E.... - p. 35.5.1-35.5.4 , 2019
 
?
12

Demonstration of BEOL-compatible ferroelectric Hf0.5Zr0.5O2..:

, In: 2019 IEEE International Electron Devices Meeting (IEDM),
Francois, T. ; Pellissier, C. ; Slesazeck, S.... - p. 15.7.1-15.7.4 , 2019
 
?
13

Novel Fine-Grain Back-Bias Assist Techniques for 14nm FDSOI..:

, In: 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA),
Bosch, D. ; Andrieu, F. ; Ciampolini, L.... - p. 1-2 , 2019
 
?
14

Back-bias impact on variability and BTI for 3D-monolithic 1..:

, In: 2019 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS),
Bosch, D. ; Andrieu, F. ; Garros, X.... - p. 1-4 , 2019
 
?
15

Epidemiology and Evolution of Fungal Pathogens in Plants an..:

, In: Genetics and Evolution of Infectious Diseases,
Gladieux, P. ; Byrnes III, E.J. ; Aguileta, G.... - p. 71-98 , 2017
 
1-15