Jung, Jinwook
45  results:
Search for persons X
?
1

14.1 A Software-Assisted Peak Current Regulation Scheme to ..:

, In: 2024 IEEE International Solid-State Circuits Conference (ISSCC),
 
?
2

A Switched-Capacitor Integer Compute Unit with Decoupled St..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
 
?
3

Invited Paper: IEEE CEDA DATC Emerging Foundations in IC Ph..:

, In: 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD),
 
?
4

Cloud-Bursting and Autoscaling for Python-Native Scientific..:

, In: Lecture Notes in Computer Science; High Performance Computing,
Liu, Tingkai ; Ellis, Marquita ; Costa, Carlos... - p. 207-220 , 2023
 
?
5

Hyper-parameter Tuning for Progressive Learning and its App..:

, In: 2022 IEEE International Symposium on Circuits and Systems (ISCAS),
Karn, Rupesh Raj ; Ziegler, Matthew ; Jung, Jinwook. - p. 1220-1224 , 2022
 
?
6

IEEE CEDA DATC : Expanding Research Foundations for IC P..:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
 
?
7

A Stochastic Approach to Handle Non-Determinism in Deep Lea..:

, In: Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design,
Liang, Rongjian ; Xiang, Hua ; Jung, Jinwook.. - p. 1-8 , 2022
 
?
8

RaPiD : AI accelerator for ultra-low precision training ..:

, In: Proceedings of the 48th Annual International Symposium on Computer Architecture,
 
?
9

RaPiD: AI Accelerator for Ultra-low Precision Training and ..:

, In: 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA),
 
?
10

Still Benchmarking After All These Years:

, In: Proceedings of the 2021 International Symposium on Physical Design,
 
?
11

DATC RDF-2020 : strengthening the foundation for academi..:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
 
?
12

Routing-free crosstalk prediction:

, In: Proceedings of the 39th International Conference on Computer-Aided Design,
Liang, Rongjian ; Xie, Zhiyao ; Jung, Jinwook... - p. 1-9 , 2020
 
?
13

BISTLock: Efficient IP Piracy Protection using BIST:

, In: 2020 IEEE International Test Conference (ITC),
Chen, Siyuan ; Jung, Jinwook ; Song, Peilin.. - p. 1-5 , 2020
 
?
14

DATC RDF-2019: Towards a Complete Academic Reference Design..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
 
?
15

Time-Dependent Degradation in Device Characteristics and Co..:

, In: VLSI Design and Test for Systems Dependability,
 
1-15