Kim, Joonsung
24  results:
Search for persons X
?
1

3D-FPIM: An Extreme Energy-Efficient DNN Acceleration Syste..:

, In: 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO),
Lee, Hunjun ; Kim, Minseop ; Min, Dongmoon... - p. 1359-1376 , 2022
 
?
2

UC-Check: Characterizing Micro-operation Caches in x86 Proc..:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
Kim, Joonsung ; Jang, Hamin ; Lee, Hunjun.. - p. 550-564 , 2021
 
?
3

Contributors:

, In: Opportunities for Fluoropolymers,
 
?
5

Enforcing Last-Level Cache Partitioning through Memory Virt..:

, In: 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT),
Chung, Jongwook ; Ro, Yuhwan ; Kim, Joonsung... - p. 97-109 , 2019
 
?
6

MnnFast : a fast and scalable system architecture for me..:

, In: Proceedings of the 46th International Symposium on Computer Architecture,
Jang, Hanhwi ; Kim, Joonsung ; Jo, Jae-Eon.. - p. 250-263 , 2019
 
?
7

μLayer : Low Latency On-Device Inference Using Cooperati..:

, In: Proceedings of the Fourteenth EuroSys Conference 2019,
Kim, Youngsok ; Kim, Joonsung ; Chae, Dongju.. - p. 1-15 , 2019
 
?
8

FIDR : A Scalable Storage System for Fine-Grain Inline D..:

, In: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture,
Ajdari, Mohammadamin ; Lee, Wonsik ; Park, Pyeongsu.. - p. 239-252 , 2019
 
?
9

SSDcheck : timely and accurate prediction of irregular b..:

, In: Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture,
Kim, Joonsung ; Park, Pyeongsu ; Ahn, Jaehyung... - p. 455-468 , 2018
 
?
10

CloudSwap : a cloud-assisted swap mechanism for mobile d..:

, In: Proceedings of the 16th IEEE/ACM International Symposium on Cluster, Cloud, and Grid Computing,
Chae, Dongju ; Kim, Joonsung ; Kim, Youngsok... - p. 462-472 , 2016
 
?
11

VSCHH 2023: A Benchmark for the View Synthesis Challenge of..:

, In: 2023 IEEE/CVF International Conference on Computer Vision Workshops (ICCVW),
Jang, Youngkyoon ; Zheng, Jiali ; Song, Jifei... - p. 1113-1120 , 2023
 
?
12

Process Improvements for 7th Generation 1Tb Quad-Level Cell..:

, In: 2023 IEEE International Memory Workshop (IMW),
 
?
13

PIE-DRAM: Postponing IECC to Enhance DRAM performance with ..:

, In: 2023 60th ACM/IEEE Design Automation Conference (DAC),
Jeon, JaeHwa ; Hong, Jae-Youn ; Kim, Sunghoon.. - p. 1-2 , 2023
 
?
14

High Bit Cost Scalability and Reliable Cell Characteristics..:

, In: 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits),
Kim, Kyungmoon ; Seo, Yujeong ; Park, Sejun... - p. 1-2 , 2023
 
?
15

A Miniaturized Wireless Neural Implant with Body-Coupled Da..:

, In: 2022 IEEE International Solid- State Circuits Conference (ISSCC),
Lee, Changuk ; Kim, Byeongseol ; Kim, Jejung... - p. 1-3 , 2022
 
1-15