Ko, Chie-Jen
3  results:
Search for persons X
?
1

A 52mW 1200MIPS compact DSP for multi-core media SoC:

, In: Proceedings of the 2006 Asia and South Pacific Design Automation Conference,
Ou, Shih-Hao ; Lin, Tay-Jyi ; Huang, Chao-Wei... - p. 118-119 , 2006
 
?
2

A unified processor architecture for RISC & VLIW DSP:

, In: Proceedings of the 15th ACM Great Lakes symposium on VLSI,
Lin, Tay-Jyi ; Chao, Chie-Min ; Liu, Chia-Hsien... - p. 50-55 , 2005
 
?
3

A compact DSP core with static floating-point unit & its mi..:

, In: Proceedings of the 14th ACM Great Lakes symposium on VLSI,
Lin, Tay-Jyi ; Lin, Hung-Yueh ; Chao, Chie-Min.. - p. 57-60 , 2004
 
1-3