Lin, Jung-Yi
634  results:
Search for persons X
?
1

Cancer classification using microarray and layered architec..:

, In: Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers,
Lin, Jung-Yi - p. 2085-2090 , 2009
 
?
2

Effects of Chemical Displacing Time for the Characteristics..:

, In: 2023 Sixth International Symposium on Computer, Consumer and Control (IS3C),
Lin, Chu-En ; Yu, Bo-Qin ; You, Hsin-Chiang... - p. 230-233 , 2023
 
?
3

An Automatic Software Quality and Function Assurance Case S..:

, In: Advances in Intelligent Systems and Computing; Web, Artificial Intelligence and Network Applications,
Hsu, Wei ; Lin, Jung-Shan ; Chen, Yi-Chi.. - p. 519-525 , 2020
 
?
4

The Case Study of Software Build-in Design Based on Quality..:

, In: Advances in Intelligent Systems and Computing; Web, Artificial Intelligence and Network Applications,
Hsieh, Meng-Ling ; Lin, Wei-Tsen ; Yu, Suhan... - p. 451-458 , 2019
 
?
5

An Investigation of Plasma Charging Effect on FinFET Front-..:

, In: 2022 6th IEEE Electron Devices Technology & Manufacturing Conference (EDTM),
Yang, Kai-Wei ; Chao, Yi-Jie ; Shih, Jiaw-Ren.. - p. 384-386 , 2022
 
?
6

3D Stackable Via RRAM Cells by Cu BEOL Process in FinFET CM..:

, In: 2020 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA),
 
?
7

Visualization of Time-to-Peak Changes on Cerebral Digital S..:

, In: Proceedings of the 2nd International Conference on Biomedical Engineering and Bioinformatics,
 
?
8

Tensor Movement Orchestration in Multi-GPU Training Systems:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
Lin, Shao-Fu ; Chen, Yi-Jung ; Cheng, Hsiang-Yun. - p. 1140-1152 , 2023
 
?
9

Multimodal Framework for Fake Messages Detection with Textu..:

, In: 2023 IEEE 6th International Conference on Knowledge Innovation and Invention (ICKII),
Lin, Chu-Hsing ; Jian, Jhen-Yu ; Wu, Yu-Fei.. - p. 487-491 , 2023
 
?
10

A New Self-Powered Wireless Sensing Circuitry for On-Wafer ..:

, In: 2022 International Electron Devices Meeting (IEDM),
Lin, Wei-Hwa ; Chen, Li Ci ; Ho, Ming-Han... - p. 31.5.1-31.5.4 , 2022
 
?
11

PUMP: Profiling-free Unified Memory Prefetcher for Large DN..:

, In: 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC),
Lin, Chung-Hsiang ; Lin, Shao-Fu ; Chen, Yi-Jung.. - p. 122-127 , 2022
 
?
12

Self-Inhibit Complementary Cells by High-κ Metal Gate Trans..:

, In: 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA),
 
?
13

7.2 A 12nm Programmable Convolution-Efficient Neural-Proces..:

, In: 2020 IEEE International Solid- State Circuits Conference - (ISSCC),
Jiao, Yang ; Han, Liang ; Jin, Rong... - p. 136-140 , 2020
 
?
14

Thermal/performance characterization of CMPs with 3D-stacke..:

, In: Proceedings of the 2015 Conference on research in adaptive and convergent systems,
Chen, Yi-Jung ; Yang, Chia-Lin ; Lin, Ping-Sheng. - p. 430-436 , 2015
 
?
15

Exploring synergistic DVFS control of cores and DRAMs for t..:

, In: Proceedings of the 2013 International Symposium on Low Power Electronics and Design,
Lin, Ping-Sheng ; Chen, Yi-Jung ; Yang, Chia-Lin. - p. 304 ff. , 2013
 
1-15