Sze, I.N.L
57  results:
Search for persons X
?
1

Placement : hot or not?:

, In: Proceedings of the International Conference on Computer-Aided Design,
Alpert, Charles ; Li, Zhuo ; Nam, Gi-Joon... - p. 283-290 , 2012
 
?
2

Quantifying academic placer performance on custom designs:

, In: Proceedings of the 2011 international symposium on Physical design,
Ward, Samuel I. ; Papa, David A. ; Li, Zhuo... - p. 91-98 , 2011
 
?
3

Clock power minimization using structured latch templates a..:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
4

Contributors:

, In: The Comprehensive Treatment of the Aging Spine,
Abbed, Khalid M. ; Abbott, Kathleen ; Amankulor, Nduka... - p. vii-xiii , 2011
 
?
5

Acknowledgements:

, In: Solution Thermodynamics and its Application to Aqueous Solutions,
 
?
6

Contributors:

, In: Solid-Phase Extraction,
 
?
7

Grid-to-ports clock routing for high performance microproce..:

, In: Proceedings of the 2011 international symposium on Physical design,
 
?
8

Wire synthesizable global routing for timing closure:

, In: Proceedings of the 16th Asia and South Pacific Design Automation Conference,
Moffitt, Michael D. ; Sze, C. N. - p. 545-550 , 2011
 
?
9

Obstacle-avoiding and slew-constrained buffered clock tree ..:

, In: Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI,
Niu, Feifei ; Zhou, Qiang ; Yao, Hailong... - p. 199-204 , 2011
 
?
10

ISPD 2010 high performance clock network synthesis contest ..:

, In: Proceedings of the 19th international symposium on Physical design,
Sze, C. N. - p. 143 ff. , 2010
 
?
11

Ispd2009 clock network synthesis contest:

, In: Proceedings of the 2009 international symposium on Physical design,
Sze, C. N. ; Restle, Phillip ; Nam, Gi-Joon. - p. 149-150 , 2009
 
?
12

Pyramids : an efficient computational geometry-based app..:

, In: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design,
Luo, Tao ; Papa, David A. ; Li, Zhuo... - p. 204-211 , 2008
 
?
13

RUMBLE : an incremental, timing-driven, physical-synthes..:

, In: Proceedings of the 2008 international symposium on Physical design,
 
?
14

Fast algorithms for slew constrained minimum cost buffering:

, In: Proceedings of the 43rd annual Design Automation Conference,
Hu, Shiyan ; Alpert, Charles J. ; Hu, Jiang... - p. 308-313 , 2006
 
?
15

Timing-driven Steiner trees are (practically) free:

, In: Proceedings of the 43rd annual Design Automation Conference,
 
1-15