Xu, Zhehui
12  results:
Search for persons X
?
1

TD3-based Voltage Regulation for Distribution Networks with..:

, In: 2023 Panda Forum on Power and Energy (PandaFPE),
Qi, Yunying ; Dang, Zhehui ; Yang, Pengcheng... - p. 505-509 , 2023
 
?
2

SEED : a SIM-based solution to 5G failures:

, In: Proceedings of the ACM SIGCOMM 2022 Conference,
Zhao, Jinghao ; Tan, Zhaowei ; Xu, Yifei.. - p. 129-142 , 2022
 
?
3

Scalable Low-Power High-Performance Rack-Scale Optical Netw..:

, In: 2019 IEEE/ACM Workshop on Photonics-Optics Technology Oriented Networking, Information and Computing Systems (PHOTONICS),
Feng, Jun ; Wang, Zhehui ; Wang, Zhifei... - p. 1-6 , 2019
 
?
4

A Fast Joint Application-Architecture Exploration Platform ..:

, In: Embedded, Cyber-Physical, and IoT Systems,
Vivas Maeda, Rafael K. ; Yang, Peng ; Li, Haoran... - p. 203-232 , 2019
 
?
5

Systematic Exploration of High-Radix Integrated Silicon Pho..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
Wang, Zhifei ; Feng, Jun ; Chen, Xuanqi... - p. 1-8 , 2019
 
?
6

MOCA : an Inter/Intra-Chip Optical Network for Memory:

, In: Proceedings of the 54th Annual Design Automation Conference 2017,
Wang, Zhehui ; Pang, Zhengbin ; Yang, Peng... - p. 1-6 , 2017
 
?
7

JADE : a Heterogeneous Multiprocessor System Simulation ..:

, In: Proceedings of the 1st International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems,
Maeda, Rafael K. V. ; Yang, Peng ; Wu, Xiaowen... - p. 1-6 , 2016
 
?
8

Coherent crosstalk noise analyses in ring-based optical int..:

, In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition,
Duong, Luan H. K. ; Nikdast, Mahdi ; Xu, Jiang... - p. 501-506 , 2015
 
?
9

Adaptively tolerate power-gating-induced power/ground noise..:

, In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition,
Wang, Zhe ; Wang, Xuan ; Xu, Jiang... - p. 483-488 , 2015
 
?
10

Characterizing power delivery systems with on/off-chip volt..:

, In: 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Wang, Xuan ; Xu, Jiang ; Wang, Zhe... - p. 1-4 , 2014
 
?
11

Characterizing power delivery systems with on/off-chip volt..:

, In: Proceedings of the conference on Design, Automation & Test in Europe,
Wang, Xuan ; Xu, Jiang ; Wang, Zhe... - p. 1-4 , 2014
 
?
12

Active power-gating-induced power/ground noise alleviation ..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Wang, Xuan ; Xu, Jiang ; Zhang, Wei... - p. 1221-1224 , 2013
 
1-12