Nikolić, Borivoje
21  results:
Search for persons X
?
2

Guest Editorial Introduction to the Special Issue on the 20..:

Nikolić, Borivoje ; Hamada, Mototsugu
IEEE Journal of Solid-State Circuits.  58 (2023)  4 - p. 897-900 , 2023
 
?
 
?
4

An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm Fin..:

Schmidt, Colin ; Wright, John ; Wang, Zhongkai...
IEEE Journal of Solid-State Circuits.  57 (2022)  1 - p. 140-152 , 2022
 
?
5

Design Techniques for a 6.4–32-Gb/s 0.96-pJ/b Continuous-Ra..:

Park, Kwanseo ; Shim, Minkyo ; Ko, Han-Gon..
IEEE Journal of Solid-State Circuits.  57 (2022)  2 - p. 573-585 , 2022
 
?
6

Automated Design of Analog Circuits Using Reinforcement Lea..:

Settaluri, Keertana ; Liu, Zhaokai ; Khurana, Rishubh...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  9 - p. 2794-2807 , 2022
 
?
9

A Dual-Core RISC-V Vector Processor With On-Chip Fine-Grain..:

Wright, John Charles ; Schmidt, Colin ; Keller, Ben...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  28 (2020)  12 - p. 2721-2725 , 2020
 
?
12

Use of Phase Delay Analysis for Evaluating Wideband Circuit..:

Bae, Woorham ; Nikolic, Borivoje ; Jeong, Deog-Kyoon
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  25 (2017)  12 - p. 3543-3547 , 2017
 
?
13

A RISC-V Vector Processor With Simultaneous-Switching Switc..:

Zimmer, Brian ; Lee, Yunsup ; Puggelli, Alberto...
IEEE Journal of Solid-State Circuits.  51 (2016)  4 - p. 930-942 , 2016
 
?
14

Per-Core DVFS With Switched-Capacitor Converters for Energy..:

Jevtic, Ruzica ; Le, Hanh-Phuc ; Blagojevic, Milovan...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.  23 (2015)  4 - p. 723-730 , 2015
 
?
15

SSCS DL Borivoje Nikolic Visits Student Branch Chapter in N..:

Nikolic, Borivoje
IEEE Solid-State Circuits Magazine.  6 (2014)  3 - p. 73-77 , 2014
 
1-15