Nikolić, Borivoje
94  results:
Search for persons X
?
2

SCμM-V23: Towards A Crystal-Free System-On-Chip For IoT In ..:

, In: 2024 IEEE Workshop on Crystal-Free/-Less Radio and System-Based Research for IoT (CrystalFreeIoT),
Lovell, Daniel ; Yuan, Titan ; Lin, Yu-Chi... - p. 18-23 , 2024
 
?
3

RTL-Repair: Fast Symbolic Repair of Hardware Design Code:

, In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Laeufer, Kevin ; Fajardo, Brandon ; Ahuja, Abhik... - p. 867-881 , 2024
 
?
4

RoSÉ: A Hardware-Software Co-Simulation Infrastructure Enab..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
5

CDPU: Co-designing Compression and Decompression Processing..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
6

A Heterogeneous SoC for Bluetooth LE in 28nm:

, In: 2023 IEEE Hot Chips 35 Symposium (HCS),
 
?
7

Silicon Process Technology Constraints for Standardized Ver..:

, In: 2023 IEEE Custom Integrated Circuits Conference (CICC),
 
?
8

Simulator Independent Coverage for RTL Hardware Languages:

, In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Laeufer, Kevin ; Iyer, Vighnesh ; Biancolin, David... - p. 606-615 , 2023
 
?
9

Guest Editorial Introduction to the Special Issue on the 20..:

Nikolić, Borivoje ; Hamada, Mototsugu
IEEE Journal of Solid-State Circuits.  58 (2023)  4 - p. 897-900 , 2023
 
?
10

MoCA: Memory-Centric, Adaptive Execution for Multi-Tenant D..:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
 
?
11

AuRORA: Virtualized Accelerator Orchestration for Multi-Ten..:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
Kim, Seah ; Zhao, Jerry ; Asanovic, Krste.. - p. 62-76 , 2023
 
?
12

Automated Design of Analog Circuits Using Reinforcement Lea..:

Settaluri, Keertana ; Liu, Zhaokai ; Khurana, Rishubh...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.  41 (2022)  9 - p. 2794-2807 , 2022
 
?
13

Hammer : a modular and reusable physical design flow too..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Liew, Harrison ; Grubb, Daniel ; Wright, John... - p. 1335-1338 , 2022
 
?
14

An Adaptable and Scalable Generator of Distributed Massive ..:

Dai, Yue ; Rasekh, Maryam Eslami ; Mirfarshbafan, Seyed Hadi...
Journal of Signal Processing Systems.  94 (2022)  10 - p. 989-1003 , 2022
 
?
15

An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm Fin..:

Schmidt, Colin ; Wright, John ; Wang, Zhongkai...
IEEE Journal of Solid-State Circuits.  57 (2022)  1 - p. 140-152 , 2022
 
1-15