Amaru, Luca
60  results:
Search for persons X
?
1

An RTL-to-GDSII Flow for Single Flux Quantum Circuits Based..:

Mlinar, Eric ; Whiteley, Stephen ; Belov, Anton...
IEEE Transactions on Applied Superconductivity.  33 (2023)  5 - p. 1-7 , 2023
 
?
2

Improving LUT-based optimization for ASICs:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Neto, Walter Lau ; Amarú, Luca ; Possani, Vinicius... - p. 421-426 , 2022
 
?
3

Read your Circuit : Leveraging Word Embedding to Guide L..:

, In: Proceedings of the 26th Asia and South Pacific Design Automation Conference,
 
?
5

A Scalable Mixed Synthesis Framework for Heterogeneous Netw..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Austin, Max ; Temple, Scott ; Neto, Walter Lau... - p. 670-673 , 2020
 
?
6

A logic synthesis toolbox for reducing the multiplicative c..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020
 
?
7

A scalable mixed synthesis framework for heterogeneous netw..:

, In: Proceedings of the 23rd Conference on Design, Automation and Test in Europe,
Austin, Max ; Temple, Scott ; Neto, Walter Lau... - p. 670-673 , 2020
 
?
8

SAT-sweeping enhanced for logic synthesis:

, In: Proceedings of the 57th ACM/EDAC/IEEE Design Automation Conference,
 
?
9

SAT-Sweeping Enhanced for Logic Synthesis:

, In: 2020 57th ACM/IEEE Design Automation Conference (DAC),
 
?
10

A Logic Synthesis Toolbox for Reducing the Multiplicative C..:

, In: 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE),
Testa, Eleonora ; Soeken, Mathias ; Riener, Heinz.. - p. 568-573 , 2020
 
?
11

Scalable Generic Logic Synthesis : One Approach to Rule ..:

, In: Proceedings of the 56th Annual Design Automation Conference 2019,
 
?
12

LSOracle: a Logic Synthesis Framework Driven by Artificial ..:

, In: 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD),
Neto, Walter Lau ; Austin, Max ; Temple, Scott... - p. 1-6 , 2019
 
?
13

Reducing the Multiplicative Complexity in Logic Networks fo..:

, In: Proceedings of the 56th Annual Design Automation Conference 2019,
 
?
14

Majority logic synthesis:

, In: Proceedings of the International Conference on Computer-Aided Design,
 
?
15

Canonical computation without canonical representation:

, In: Proceedings of the 55th Annual Design Automation Conference,
 
1-15