Asanovic, Krste
174  results:
Search for persons X
?
1

Zoomie: A Software-like Debugging Tool for FPGAs:

, In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3,
Wei, Tianrui ; Laeufer, Kevin ; Lim, Katie... - p. 1048-1062 , 2024
 
?
3

CDPU: Co-designing Compression and Decompression Processing..:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
4

Special Issue on Hot Chips 34:

Diamant, Ron ; Asanovic, Krste
IEEE Micro.  43 (2023)  3 - p. 7-8 , 2023
 
?
5

MoCA: Memory-Centric, Adaptive Execution for Multi-Tenant D..:

, In: 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA),
 
?
6

AuRORA: Virtualized Accelerator Orchestration for Multi-Ten..:

, In: Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,
Kim, Seah ; Zhao, Jerry ; Asanovic, Krste.. - p. 62-76 , 2023
 
?
7

Profiling Hyperscale Big Data Processing:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
8

Cerberus : A Formal Approach to Secure and Efficient Enc..:

, In: Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security,
Lee, Dayeol ; Cheang, Kevin ; Thomas, Alexander... - p. 1871-1885 , 2022
 
?
9

Hammer : a modular and reusable physical design flow too..:

, In: Proceedings of the 59th ACM/IEEE Design Automation Conference,
Liew, Harrison ; Grubb, Daniel ; Wright, John... - p. 1335-1338 , 2022
 
?
10

Constellation: An Open-Source SoC-Capable NoC Generator:

, In: 2022 15th IEEE/ACM International Workshop on Network on Chip Architectures (NoCArc),
 
?
11

An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm Fin..:

Schmidt, Colin ; Wright, John ; Wang, Zhongkai...
IEEE Journal of Solid-State Circuits.  57 (2022)  1 - p. 140-152 , 2022
 
?
12

A Hardware Accelerator for Protocol Buffers:

, In: MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture,
Karandikar, Sagar ; Leary, Chris ; Kennelly, Chris... - p. 462-478 , 2021
 
?
15

Genesis : a hardware acceleration framework for genomic ..:

, In: Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture,
Ham, Tae Jun ; Bruns-Smith, David ; Sweeney, Brendan... - p. 254-267 , 2020
 
1-15