Beckmann, Bradford M.
~ 1400  results:
Search for persons X
?
1

Predict; Don't React for Enabling Efficient Fine-Grain DVFS..:

, In: Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 4,
 
?
2

A Research Retrospective on AMD's Exascale Computing Journe:

, In: Proceedings of the 50th Annual International Symposium on Computer Architecture,
 
?
3

Independent forward progress of work-groups:

, In: Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture,
 
?
4

Independent Forward Progress of Work-groups:

, In: 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA),
 
?
5

Optimizing GPU Cache Policies for MI Workloads*:

, In: 2019 IEEE International Symposium on Workload Characterization (IISWC),
 
?
6

Autonomous Data-Race-Free GPU Testing:

, In: 2019 IEEE International Symposium on Workload Characterization (IISWC),
Ta, Tuan ; Zhang, Xianwei ; Gutierrez, Anthony. - p. 81-92 , 2019
 
?
7

Adaptive Task Aggregation for High-Performance Sparse Solve..:

, In: 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT),
Helal, Ahmed E. ; Aji, Ashwin M. ; Chu, Michael L... - p. 324-336 , 2019
 
?
8

Optimizing Hyperplane Sweep Operations Using Asynchronous M..:

, In: 2019 IEEE International Symposium on Workload Characterization (IISWC),
 
?
9

Oversubscribed Command Queues in GPUs:

, In: Proceedings of the 11th Workshop on General Purpose GPUs,
Puthoor, Sooraj ; Tang, Xulong ; Gross, Joseph. - p. 50-60 , 2018
 
?
10

Gravel : fine-grain GPU-initiated network messages:

, In: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis,
 
?
11

Design and Analysis of an APU for Exascale Computing:

, In: 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA),
 
?
12

Software Assisted Hardware Cache Coherence for Heterogeneou..:

, In: Proceedings of the Second International Symposium on Memory Systems,
Basu, Arkaprava ; Puthoor, Sooraj ; Che, Shuai. - p. 279-288 , 2016
 
?
13

Programming GPGPU Graph Applications with Linear Algebra Bu..:

Che, Shuai ; Beckmann, Bradford M. ; Reinhardt, Steven K.
International Journal of Parallel Programming.  45 (2016)  3 - p. 657-679 , 2016
 
?
14

Lazy release consistency for GPUs:

, In: The 49th Annual IEEE/ACM International Symposium on Microarchitecture,
 
?
15

Implementing directed acyclic graphs with the heterogeneous..:

, In: Proceedings of the 9th Annual Workshop on General Purpose Processing using Graphics Processing Unit,
Puthoor, Sooraj ; Aji, Ashwin M. ; Che, Shuai... - p. 53-62 , 2016
 
1-15