Berkelaar, Michel
12  results:
Search for persons X
?
1

Session details: Logic synthesis techniques:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
 
?
2

Transistor-level gate model based statistical timing analys..:

, In: Proceedings of the Conference on Design, Automation and Test in Europe,
Tang, Qin ; Zjajo, Amir ; Berkelaar, Michel. - p. 917-922 , 2012
 
?
3

RDE-based transistor-level gate simulation for statistical ..:

, In: Proceedings of the 47th Design Automation Conference,
Tang, Qin ; Zjajo, Amir ; Berkelaar, Michel. - p. 787-792 , 2010
 
?
4

Polarized observability don't cares:

, In: Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design,
 
?
5

Efficient orthonormality testing for synthesis with pass-tr..:

, In: Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design,
 
?
6

Computing the entire active area/power consumption versus d..:

, In: Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design,
 
?
7

Gate sizing in MOS digital circuits with linear programming:

, In: Proceedings of the conference on European design automation,
 
1-12